Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Size: px
Start display at page:

Download "Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment"

Transcription

1 Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling users to meet the challenges of today s nanometer designs with little or no learning curve. As with all Custom Designer tools, schematic editing tasks are accomplished with fewer clicks, quicker menu access, and less pop-up menu clutter. Architected from the ground up with maximum productivity in mind, Custom Designer SE enables fast schematic editing with on-canvas parameter editing and display. Custom Designer SE s real-time connectivity with dynamic net highlighting continuously maintains up-to-date design integrity for faster design with fewer errors and less effort. Extending the Galaxy Implementation Platform As semiconductor designs demand more custom and AMS content, custom design teams need new ways to address the challenge of quickly and efficiently integrating into existing digital design flows. Custom Designer leverages the powerful capabilities of Synopsys Galaxy Implementation Platform to provide a unified solution for custom and digital design teams. Digital teams now have access to a comprehensive AMS block authoring flow with an optimized pipeline that eliminates tedious data exchange and leads to faster design cycle time. Key Benefits ``Architected for Productivity y One unified platform for both cell-based and custom content speeds complex chip design and integration tasks y Supports a complete block-authoring flow with parasitic resimulation for highaccuracy results y Supports Synopsys CustomSim, HSPICE, and Custom WaveView for highperformance, high-capacity circuit simulation y Supports Synopsys Hercules, IC Validator LVS and StarRC flows for industry signoff physical verification yon-canvas editing of parameters and nets improves capture time y Dynamic net highlighting provides fast visual recognition of connectivity to help eliminate design errors y Smart Connect advanced wiring technology speeds designers through wiring tasks for faster time-to-completion y Device Palette assistant provides quick access to favorite devices to place in your design, including individual parameter settings y Transaction History provides sophisticated undo/redo changes on a per-window basis, even after a Save is performed

2 ``Simulation and Analysis Environment y Supports Synopsys common simulator use model, simplifying access to the simulator of your choice y Combines testbenches, circuits, simulators, setups, measurements, post-simulation processing and analysis into a single environment for fast visualization of results and isolation of problems y Enables the use of a single common testbench for both pre- and postparasitic phases of the design y Organizes simulator options and provides easy visualization of all interdependencies and default settings. The simulation options dialog also provides quick help through option-specific tool tips y Cross-probing and back-annotation between the simulation environment, Custom Designer SE, Custom Designer LE and extracted views lets designers quickly select and display the results that matter for quick analysis directly on the schematic or in Custom WaveView y Shares all of Custom Designer s advanced infrastructure technology, including the library manager, hierarchy editor, and the job monitor y Interfaced to industry-leading load balancing solutions that work in conjunction with a unified parametric, corners and statistical analysis capability A Comprehensive and Unified Flow Custom Designer SE utilizes Synopsys common simulator use model, allowing access to Synopsys leading AMS simulators, including HSPICE and CustomSim. During simulation and debug, Custom Designer SE provides quick access to any simulator through simple pull-down menus. Figure 1: Smart Connect and On-Canvas editing of parameters are just two of the ways Custom Designer SE boost designer efficiency during schematic capture During physical verification, the native integration between Custom Designer SE, Custom Designer LE and StarRC provides a complete round-trip parasitic resimulation flow complete with back-annotation. The comprehensive flow ensures the highest-possible accuracy in parasitics extracted from the physical design. Productivity is Key Custom Designer SE s Smart Connect wiring technology drastically improves the user experience during the tedious task of wiring schematics. Smart Connect boosts productivity during wire routing with a simple but powerful combination of mouse-click and keystroke actions that quickly identifies and automatically connects to the closest allowable wiring points. Smart Connect technology ensures that during the wiring phase all connections are made as quickly as possible without cumbersome user interaction and tedious mouse alignment and complex commands. (See Figure 1.) Custom Designer SE s dynamic net highlighting instantly shows the user all wires in the circuit with the same net name. Instant visual feedback of the connectivity helps designers avoid the most obvious mistakes. This capability also works with vectored nets. Custom Designer SE s on-canvas editing capability allows designers to quickly change parameter values, pin labels, net and instances names directly on the canvas by simply pointing at the object and hitting the return key. In addition, all value changes in Custom Designer SE automatically trigger device callbacks when editing parameter values (even during scripting), eliminating tapeoutkilling design synchronization problems. Simulation and Analysis Environment The complex task of analyzing, verifying and debugging today s tough AMS and custom designs requires state-of-theart tools that are architected from the ground up for productivity. Custom Designer s simulation and analysis environment provides designers with the Galaxy Custom Designer SE 2

3 latest tools and techniques for creating and managing the thousands of circuit simulations that occur during the AMS circuit development cycle. Overview of the Main Console The main console (Figure 2) provides designers with a high-altitude view of the current design s variables, analyses and outputs and allows them to focus on the design, not on the details of simulator syntax. Features that increase designer productivity include an edit-in-place capability allowing users to work directly with the values in the main console. Specific dialogs can also be used for setup and detailed control of the entries in the console. Users can also run multiple environment consoles from a single Custom Designer session, allowing side-by-side comparisons of results from different version of a design or different designs. The environment supports a rich, user-extensible waveform expression language that is common with Custom WaveView. This allows users to create complex expressions that are independent from the simulator in use and apply them to ideal or extracted designs without change. The analyses section of the main console shows the various simulations that will be conducted. The environment helps a designers be more productive by hiding the details of simulation syntax in favor of easy-to-use, simulator-specific dialogs. All available analyses and their options are clearly presented to the user and can be recalled and modified as needed. Another key part of the environment is the outputs section, which collects and manages the volumes of data that can be produced by complex AMS simulations. Each line in the outputs section is a measurement that can be chosen from a schematic or text view Figure 2: Custom Designer Simulation and Analysis Environment main console provides the top-level view of variables, analyses and measurements cross-probe or constructed with the Results Analyzer. All entries made in the output section also cause the environment to emit the required simulation instructions for saving all of the data needed to display the answer. After simulation, scalar data results will be automatically displayed in the table while vector data will automatically be displayed in Custom WaveView where they can be subjected to further analysis. Improved Productivity with the Results Analyzer The Results Analyzer is a powerful toolbox that can dramatically improve user productivity for a variety of common measurements and calculations (See Figure 3). Following a simulation, the Results Analyzer toolbox lets users quickly craft both common and complex measurements and display the results in Synopsys CustomExplorer or Custom WaveView. For instance, the Result Analyzer s pallet of tools lets users quickly select a predefined measurement of the voltage of differential nets with a simple click on the two nets. The appropriate simulation waveforms are retrieved and the equation is calculated and displayed in Custom WaveView. Other tools include common functions like finding the total power in a signal or displaying an eye diagram. The Results Analyzer also provides the expression it used to derive its results. These expressions can be copied to other scripts or sessions or can be used directly in the waveform calculator or Custom WaveView. Galaxy Custom Designer SE 3

4 Regression Scripting When designers complete their initial work in the environment, the system can generate regression scripts that can be run in the background to replay the session s measurements. This capability improves designer throughput and productivity as it allows work on other designs and does not occupy the user s environment. Based in Tcl, regression scripts can also be modified and used in batch runs to accommodate changes in process libraries and other conditions. Unified Parametric, Corners and Monte Carlo Analysis Custom Designer SE s simulation environment provides a unified mechanism for Parametric, Corners and Monte Carlo analyses that simplify the setup, launch and recovery of the thousands of individual simulations required to understand the behavior of a design. Diplayed in the analyses section of the main console, the Parametric Analyses dialog adds nested sweep commands as needed and manages any interdependencies to ensure the simulation results are correct. The Corners dialogs allow the user to set up sets of parameter values and model libraries and organize them into distinct sections prior to simulation. Combined with Custom Designer SE s Distributed Processing feature, the Corners tool can launch, recover and summarize the results of simulations for a thorough understanding of the effect of process corners, temperatures or other variables on your design. The Monte Carlo capability helps reduce the volume of simulations by providing statistical analyses across process variability. The Monte Carlo capability is also tightly integrated with HSPICE s variability analysis capability Figure 3: The Result Analyzer provides quick access to commonly used analyses that improve designer productivity to maximize throughput and improve accuracy. (See Figure 4.) Built-in Distributed Processing Support Custom Designer SE s simulation and analysis environment is designed to take maximized advantage of compute farm resources and simulator licenses. Shipped with native support for Platform Computing LSF and Sun Microsystems Sun Grid Engine grid management products, Custom Designer SE allow users to launch and recover distributed jobs and manage the returning results. This capability can be used to maximize simulation throughput for Corners, Monte Carlo and Parametric analyses prior to further analysis in the environment. Additionally, Custom Designer SE provides an open application programming interface (API) allowing easy integration of proprietary grid management software. These three capabilities work together to provide flexibility and allow the designer to perform Corners around a Parametric Sweep, Sweeps in a Monte Carlo loop or other nested analyses as required. Open, Interoperable and Extensible Environment Based on Si2 s OpenAccess database and extensible through industrystandard Tcl scripting language, Custom Designer s open environment allows CAD groups to quickly add new tools to the environment. Custom Designer s open infrastructure is a shift in the EDA industry, offering unfettered access to design data. With no proprietary languages, databases or extensions, Custom Designer offers CAD groups deep visibility into the system s design infrastructure, enabling highperformance application integration and development, including access to inmemory data and runtime objects. Custom Designer also provides the ability to develop consistent user interfaces across all Custom Designer tools by providing access to standard components like menus and toolbar icons. Galaxy Custom Designer SE 4

5 Custom Designer s open simulation environment includes a Programmable Netlister that ships with open-source code, allowing for quick implementation of custom netlist formats. The netlister supports the Component Description Format (CDF) parameters, including PEL/SEL expressions, CDF netlistinfo and physical extracted view netlisting. Powerful Capabilities Shared Across Custom Designer Powerful new GUI technologies provide the entire Custom Designer system with a unique set of capabilities that are shared across all components. Custom Designer has extensive contextsensitive menu support throughout the tool and shares the same use model used in all user subsystems. Custom Designer s property editor allows for single or mass editing of property values across selected instances. Tabbed views simplify editing of different device types and As-Is technology clearly indicates mismatches in values. Custom Designer s Transaction History is a sophisticated undo/redo system that records all data creation and manipulation commands during an editing session for schematics and layout. Recallable at any time, this history is also unique to each different cellview, improving the designer s recall of the editing steps. Figure 4: Custom Designer s Monte Carlo analysis provides graphical setup for all simulation options All Custom Designer commands are logged in a log file (.log and.tcl) and can be replayed in the tool. This can be beneficial when creating macros for any task that needs to be repeated. Icons for recently used commands appear on the history toolbar. Reinvoking previously used commands is easy. Custom Designer also supports standard and user-definable bind-key sets, allowing you to customize the system to meet your unique design style. Custom Designer boasts a single job monitor that logs all batch and interactive jobs launched from any native Custom Designer tool or any other tool integrated into the environment. Job status is saved across different sessions. Platform Support ``X86 for 32- and 64-bit ``Red Hat Enterprise Linux version 4 and 5 (AS, ES, WS) ``SUSE Linux 10 and 11 (AS, ES, WS) Synopsys, Inc. 700 East Middlefield Road Mountain View, CA Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at All other names mentioned herein are trademarks or registered trademarks of their respective owners. 09/10.CE

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Tanner Analog Front End Flow. Student Workbook

Tanner Analog Front End Flow. Student Workbook Student Workbook 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

SILVACO. An Intuitive Front-End to Effective and Efficient Schematic Capture Design INSIDE. Introduction. Concepts of Scholar Schematic Capture

SILVACO. An Intuitive Front-End to Effective and Efficient Schematic Capture Design INSIDE. Introduction. Concepts of Scholar Schematic Capture TCAD Driven CAD A Journal for CAD/CAE Engineers Introduction In our previous publication ("Scholar: An Enhanced Multi-Platform Schematic Capture", Simulation Standard, Vol.10, Number 9, September 1999)

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

QTP interview questions

QTP interview questions QTP interview questions Testing interview questions 1. What are the Features & Benefits of Quick Test Pro (QTP 8.0)? - Operates stand-alone, or integrated into Mercury Business Process Testing and Mercury

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

2 Creating Xnets and Differential Pairs by Assigning Signal Models

2 Creating Xnets and Differential Pairs by Assigning Signal Models 1 Allegro Design Entry HDL - Constraint Manager User Guide Product Version 16.6 October 2012 2 Creating Xnets and Differential Pairs by Assigning Signal Models Design Entry HDL provides support for creating

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

StarRC Parasitic Extraction

StarRC Parasitic Extraction Datasheet StarRC Parasitic Extraction Overview StarRC is the EDA industry s gold standard for parasitic extraction. A key component of Synopsys Galaxy Design Platform, it provides a siliconaccurate and

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Ansys Designer RF Training Lecture 2: Introduction to the Designer GUI

Ansys Designer RF Training Lecture 2: Introduction to the Designer GUI Ansys Designer RF Solutions for RF/Microwave Component and System Design 7. 0 Release Ansys Designer RF Training Lecture 2: Introduction to the Designer GUI Ansoft Designer Desktop Menu bar Toolbars Schematic

More information

Silk Test Workbench Getting Started with Visual Tests

Silk Test Workbench Getting Started with Visual Tests Silk Test Workbench 17.5 Getting Started with Visual Tests Micro Focus The Lawn 22-30 Old Bath Road Newbury, Berkshire RG14 1QN UK http://www.microfocus.com Copyright Micro Focus 1992-2016. All rights

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Business Insight Authoring

Business Insight Authoring Business Insight Authoring Getting Started Guide ImageNow Version: 6.7.x Written by: Product Documentation, R&D Date: August 2016 2014 Perceptive Software. All rights reserved CaptureNow, ImageNow, Interact,

More information

Synopsys FPGA Design Microsemi Edition Release Notes

Synopsys FPGA Design Microsemi Edition Release Notes Synopsys, Inc. 690 East Middlefield Road Mountain View, CA 94043 USA Website: www.synopsys.com Synopsys FPGA Design Microsemi Edition Release Notes Includes Synplify Pro and Identify Version N-2017.09M-SP1,

More information

Virtuoso Characterization

Virtuoso Characterization A complete solution for fast and accurate characterization and validation The Cadence Virtuoso Characterization Suite delivers the industry s most comprehensive and robust solution for the characterization

More information

Introduction to the Visual Studio.NET Integrated Development Environment IDE. CSC 211 Intermediate Programming

Introduction to the Visual Studio.NET Integrated Development Environment IDE. CSC 211 Intermediate Programming Introduction to the Visual Studio.NET Integrated Development Environment IDE CSC 211 Intermediate Programming Visual Studio.NET Integrated Development Environment (IDE) The Start Page(Fig. 1) Helpful links

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

1 Preface About this Manual Intended Audience Revision History Document Conventions Version...

1 Preface About this Manual Intended Audience Revision History Document Conventions Version... Table of Contents 1 Preface... 3 1.1 About this Manual... 3 1.2 Intended Audience... 3 1.3 Revision History... 3 1.4 Document Conventions... 3 1.5 Version... 4 2 Introduction... 5 2.1 Overview... 5 2.2

More information

SQL Server. Management Studio. Chapter 3. In This Chapter. Management Studio. c Introduction to SQL Server

SQL Server. Management Studio. Chapter 3. In This Chapter. Management Studio. c Introduction to SQL Server Chapter 3 SQL Server Management Studio In This Chapter c Introduction to SQL Server Management Studio c Using SQL Server Management Studio with the Database Engine c Authoring Activities Using SQL Server

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Synplify Pro for Microsemi Edition Release Notes Version L M-G5, November 2016

Synplify Pro for Microsemi Edition Release Notes Version L M-G5, November 2016 Synopsys, Inc. 690 East Middlefield Road Mountain View, CA 94043 USA Website: www.synopsys.com Synplify Pro for Microsemi Edition Release Notes Version L-2016.09M-G5, November 2016 Publication Version

More information

Features and Benefits

Features and Benefits AutoCAD 2005 Features and s AutoCAD 2005 software provides powerhouse productivity tools that help you create single drawings as productively as possible, as well as new features for the efficient creation,

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Published on Online Documentation for Altium Products (

Published on Online Documentation for Altium Products ( Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Home > Simulation Profiles Using Altium Documentation Modified by Jason Howie on Dec 14, 2017 Along with other

More information

News in RSA-RTE 10.1 updated for sprint Mattias Mohlin, January 2018

News in RSA-RTE 10.1 updated for sprint Mattias Mohlin, January 2018 News in RSA-RTE 10.1 updated for sprint 2018.03 Mattias Mohlin, January 2018 Overview Now based on Eclipse Neon.3 (4.6.3) Many general improvements since Eclipse Mars Contains everything from RSARTE 10

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Lesson 2: DC Bias Point Analysis

Lesson 2: DC Bias Point Analysis 2 Lesson 2: DC Bias Point Analysis Lesson Objectives After you complete this lesson you will be able to: Create a simulation profile for DC Bias analysis Netlist the design for simulation Run a DC Bias

More information

SPISim1. SPISim Modeling Suite. IBIS, IBIS-AMI model generation and general modeling

SPISim1. SPISim Modeling Suite. IBIS, IBIS-AMI model generation and general modeling SPISim1 SPISim Modeling Suite IBIS, IBIS-AMI model generation and general modeling SPISim EDA expertise in Signal, Power Integrity and Simulation EDA focusing on SI and PI: SPISim is an EDA company specialized

More information

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last ECE 546 HOMEWORK No 10 Due Thursday, April 19, 2018 In this homework you will extract the pulse response of the given channel, extract the decision feedback equalization (DFE) coefficients to equalize

More information

Embarcadero PowerSQL 1.1 Evaluation Guide. Published: July 14, 2008

Embarcadero PowerSQL 1.1 Evaluation Guide. Published: July 14, 2008 Embarcadero PowerSQL 1.1 Evaluation Guide Published: July 14, 2008 Contents INTRODUCTION TO POWERSQL... 3 Product Benefits... 3 Product Benefits... 3 Product Benefits... 3 ABOUT THIS EVALUATION GUIDE...

More information

System Owner s Manual. Tigo Energy Maximizer System

System Owner s Manual. Tigo Energy Maximizer System System Owner s Manual Tigo Energy Maximizer System Applies to the following: Tigo Energy Module Maximizer (MM-ES) Tigo Energy Maximizer Management Unit (MMU) Model numbers: All MM-ES and MMU IMPORTANT

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011 ISE Simulator (ISim) In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011 RTL and Technology Schematic Viewers Tutorial The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not assume any

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

1704 SP2 CUSTOMER. What s New SAP Enable Now

1704 SP2 CUSTOMER. What s New SAP Enable Now 1704 SP2 CUSTOMER What s New SAP Table of Contents Introduction... 4 Further Information... 4 General Changes... 5 Create New Object Assistant... 5 Object Palettes... 5 Info Center Access... 6 Interactive

More information

SilkTest Workbench Getting Started with Visual Tests

SilkTest Workbench Getting Started with Visual Tests SilkTest Workbench 13.0 Getting Started with Visual Tests Micro Focus 575 Anton Blvd., Suite 510 Costa Mesa, CA 92626 Copyright 2012 Micro Focus. All rights reserved. Portions Copyright 2010-2011 Borland

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

A comprehensive workflow and methodology for parasitic extraction

A comprehensive workflow and methodology for parasitic extraction A comprehensive workflow and methodology for parasitic extraction Radoslav Prahov, Achim Graupner Abstract: In this paper is presented, analysed and assessed a design automation methodology of a tool employed

More information

INTRODUCING VERITAS BACKUP EXEC SUITE

INTRODUCING VERITAS BACKUP EXEC SUITE INTRODUCING VERITAS BACKUP EXEC SUITE January 6, 2005 VERITAS ARCHITECT NETWORK TABLE OF CONTENTS Managing More Storage with Fewer Resources...3 VERITAS Backup Exec Suite...3 Continuous Data Protection...

More information

Advanced Design System IFF Schematic Translation for Cadence

Advanced Design System IFF Schematic Translation for Cadence Advanced Design System 2001 IFF Schematic Translation for Cadence August 2001 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Simulation examples Chapter overview

Simulation examples Chapter overview Simulation examples 2 Chapter overview The examples in this chapter provide an introduction to the methods and tools for creating circuit designs, running simulations, and analyzing simulation results.

More information

WHITE PAPER: ENTERPRISE SOLUTIONS

WHITE PAPER: ENTERPRISE SOLUTIONS WHITE PAPER: ENTERPRISE SOLUTIONS Integrating Network Appliance Snapshot and SnapRestore with Veritas NetBackup in an Oracle Backup Environment (Now from Symantec ) White Paper: Symantec Enterprise Solutions

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

Quartus II Introduction Using Schematic Design

Quartus II Introduction Using Schematic Design Quartus II Introduction Using Schematic Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

Editing Multiple Objects. Contents

Editing Multiple Objects. Contents Editing Multiple Objects Contents Selecting Multiple Objects Inspecting the Objects Editing the Objects Editing Group Objects Step 1. Selecting the Capacitors Step 2. Changing the Comment String Step 3.

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Load Dynamix Enterprise 5.2

Load Dynamix Enterprise 5.2 DATASHEET Load Dynamix Enterprise 5.2 Storage performance analytics for comprehensive workload insight Load DynamiX Enterprise software is the industry s only automated workload acquisition, workload analysis,

More information

Solo 4.6 Release Notes

Solo 4.6 Release Notes June9, 2017 (Updated to include Solo 4.6.4 changes) Solo 4.6 Release Notes This release contains a number of new features, as well as enhancements to the user interface and overall performance. Together

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Multi-Board Systems Design

Multi-Board Systems Design Multi-Board Systems Design D A T A S H E E T MAJOR BENEFITS: Xpedition optimizes multi-board system design from logical system definition through manufacturing. Overview Electronic multi-board systems

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

News in RSA-RTE 10.1 updated for sprint Mattias Mohlin, November 2017

News in RSA-RTE 10.1 updated for sprint Mattias Mohlin, November 2017 News in RSA-RTE 10.1 updated for sprint 2017.46 Mattias Mohlin, November 2017 Overview Now based on Eclipse Neon.3 (4.6.3) Many general improvements since Eclipse Mars Contains everything from RSARTE 10

More information

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool White Paper Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool September 2009 Author Dr. Larry G. Jones, Implementation Group, Synopsys, Inc. Introduction With the continued evolution

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description Features Comprehensive CPLD/PLD Design Environment User-friendly Microsoft Windows Interface (Win 95, Win 98, Win NT) Powerful Project Navigator Utilizes Intelligent Device Fitters for Automatic Logic

More information

PTC Creo Piping and Cabling Extension

PTC Creo Piping and Cabling Extension PTC Creo Piping and Cabling Extension Accelerate your Piping and Cabling Design Process Traditional mechanical products are becoming more and more complex due to their increased reliance on electrical

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Veritas Provisioning Manager

Veritas Provisioning Manager Veritas Provisioning Manager Automated server provisioning, part of the Veritas Server Foundation suite, automates server provisioning and management from physical bare metal discovery and OS installation

More information

6SigmaRoom R8 What s New

6SigmaRoom R8 What s New SigmaRoom R8 What s New Contents 6SigmaDC R8 Overview... 2 R8 Highlights... 3 Discoverable Interface... 4 Welcome Screen... 4 New Controls... 5 Extended Tooltips... 5 On-Screen Hints... 6 Confirmation

More information