MAX 10 - ADC. Last updated 8/12/18

Size: px
Start display at page:

Download "MAX 10 - ADC. Last updated 8/12/18"

Transcription

1 MAX 10 - Last updated 8/12/18

2 A/D Analog to Digital Conversion Most of the real world is analog temperature, pressure, voltage, current, To work with these values in a computer we must convert them into digital representations Three steps to this conversion Sampling Quantizing Encoding 2 tj

3 A/D Sampling A to D Conversion takes a finite amount of time What if the input changes during this time? We must take a snapshot of the input Sample and Hold Vin Sample Vout 3 tj

4 A/D Sampling Sampling is a kind of MODULATION Modulation systems are subject to Aliasing Fin < fs/2 Frequency 0 Fs: Nyquist rate LPF the input (anti-aliasing filter) Frequency 0 fs Frequency 0 fs 4 tj

5 A/D Sampling Example of analog aliasing 5 tj

6 A/D Quantizing In the A to D process we are converting an infinite resolution analog signal into a finite number of digital bits Converters use reference voltages to set the range of allowed input voltages - Vref-H, Vref-L Each binary step represents (V ref-h V ref-l ) / 2 n for an n bit conversion e.g. 0V 1V input converted to 3 bit digital value each binary step represents 0.125V since 000 typically represents 0.0V, 111 represents 0.875V 6 tj

7 A/D Quantizing Quantization error looks like noise on the signal (Quantization Noise) Dynamic Range is a measure of signal to noise ratio. (SNR in db) For an AtoD the Dynamic Range is the measure of signal to Quantizing Noise ratio (SQNR) SQNR = 20 log 10 (2 n /(1/2 (-1/2)) = 20 log 10 2 n 8bit 48dB 10bit 60dB n steps Step Size rel to Vref-H - Vref-L SQNR (db) tj

8 A/D A/D Conversion Example 10 bit converter with VrefH=3.0V, VrefL=0.0V If the input is 2V, what is the output code VrefH-VrefL = 3V range 10 bit converter step size = range/2 10 = mV/step 2V / mV/step = 682 steps from VrefL tj

9 A/D Successive Approximation A to D Uses an iterative process to determine the correct digital value for the analog input Requires Input (sample and held) A register to hold the current estimate of the digital value D to A converter to convert the digital estimate back to analog A comparator to determine if the estimate is above or below the actual input value Control logic to run the process Uses a binary search to find the nearest code value to the input value 9 tj

10 A/D Successive Approximation A to D Vin + _ Clk CONTROL VrefH VrefL D to A Successive Approximation Register OUTPUT LATCH Output Code 10 tj

11 A/D Successive Approximation A to D The control logic resets the SAR before each conversion The control logic then sets the msb The DtoA converts this to ½ the reference voltage The comparator tests to see if the input is above or below this value if above, the 1 in the msb stays if below, the msb is reset to zero The control logic then sets the msb-1 bit The DtoA converts this to the appropriate voltage level The comparator tests to see if the input is above or below this value if above, the 1 stays if below, the msb-1 bit is reset to 0 The control logic then sets the msb-n bit The DtoA converts this to voltage The comparator tests to see if the input is above or below this value if above, the 1 stays if below, the msb-n bit is reset to 0 Vin Clk D to A + _ CONTROL Successive Approximation Register Output Code VrefH 11 VrefL tj OUTPUT LATCH

12 Steps relative to VrefH-VrefL A/D Successive Approximation A to D Test to see if input is > or < new midpoint if <, clear bit if >, set bit Input SAR DtoA output 12 Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 tj

13 Configuration 2 channels SAR type conversion 12 bit conversion 1MHz (max) operation 1 dedicated input / channel 8 programmable inputs / channel 0 2.5V conversion range 13 tj

14 Configuration 14 tj

15 Configuration 15 tj

16 Configuration Special Pre-scaler mode for Channel 8 Divides input voltage by 2 Allows input voltages up to 5V with a 2.5V reference 16 tj

17 Configuration Clocking Must use PLL for clocking PLL1 or PLL3 Voltage Reference Internal and external reference options Common external reference pin Common internal reference Can select references separately for each Temperature Sensor 1 has an on-die temperature sensor 17 tj

18 Configuration 2 IP Cores Altera Modular IP core Can be use either Both cores can be used at the same time If both cores used they will operate asnychronously Altera Modular Dual IP core Instantiates both cores ANAIN1 and ANAIN2 inputs are samples synchronously All other pins are asynchronous 18 tj

19 Configuration 4 Configurations for each core Standard Sequencer with Avalon-MM Sample Storage Sequencer manages multiple input pins and sequencing of samples Samples are stored in on-chip memory (Sample Store) Control controls the process Managed by processor 19 tj

20 Configuration 4 Configurations for each core Standard Sequencer with Avalon-MM Sample Storage Dual Core Sequencer manages multiple input pins and sequencing of samples Merged samples are stored in on-chip memory (Sample Store) Control controls the process independently Managed by processor 20 tj

21 Configuration 4 Configurations for each core Standard Sequencer with Avalon-MM Sample Storage and Threshold Violation Detection Adds a splitter Compares values to thresholds and provides a violation signal 21 tj

22 Configuration 4 Configurations for each core Standard Sequencer with Avalon-MM Sample Storage and Threshold Violation Detection Dual Core Adds a splitter Compares values to thresholds and provides a violation signal 22 tj

23 Configuration 4 Configurations for each core Standard Sequencer with External Sample Storage Sequencer manages multiple input pins and sequencing of samples Control controls the process Managed by processor Samples are stored outside the IP core 23 tj

24 Configuration 4 Configurations for each core Standard Sequencer with External Sample Storage Dual Core 24 tj

25 Configuration 4 Configurations for each core Control Core Only Control controls the process Managed by processor No Sequencer Samples are stored outside the IP core 25 tj

26 Configuration 4 Configurations for each core Control Core Only Dual Core 26 tj

27 Configuration Configuration Blocks 27 tj

28 Configuration Configuration Blocks 28 tj

29 Core 29 tj

30 Core 30 tj

31 Core 31 tj

32 Core 32 tj

33 Configuration 3 approaches to using the s Used with the Quartus ToolKit (Inside System Console) to verify operation Avalon interface built into the toolkit Used as part of a NIOS system Avalon interface built in by Platform Designer Used with a hand built interface Emulate the Avalon interface 33 tj

34 Example using Toolkit Module Configuration Enabled for the Toolkit Only 1 available on DE10-Lite Max Sample Rate Required Clock Channel 1 Arduino A0 pin Sequencer Setup 34 tj

35 Example using Toolkit Platform Planner Clk driver required for PLL 10MHz PLL output Block Debug interface for Toolkit Toolkit controls the Avalon Interface through this module 35 tj

36 Example using Toolkit DE10 top level design adc_basic_de10.vhdl by: johnsontimoj created: 6/28/ version: example - de10 implementation inputs: CLK, in 1 (via IP) outputs: none Use System Console - Toolkit for validation library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adc_basic_de10 is port ( CLOCK_50: in std_logic ); end entity; architecture toplevel of adc_basic_de10 is component adc_basic is port ( clk_clk : in std_logic := 'X'; -- clk begin reset_reset_n : in std_logic := 'X' -- reset_n ); end component adc_basic; u0 : component adc_basic port map ( clk_clk => CLOCK_50, -- clk.clk reset_reset_n => '1' -- reset.reset_n ); -- no activity end architecture; 36 tj

37 Example using Toolkit Setup Analog Discovery Waveform Output Arduino AO pin DE10-Lite 37 tj

38 Example using Toolkit 38 tj

39 Example using Toolkit Toolkit results Looks like there is a DC offset 39 tj

40 Control Interfaces To create a logic controller 40 tj

41 DE10-Lite WARNINGS Only 1 is brought out to pins No access to 2 inputs The pin #s are shifted Arduino A0 is mapped to 1_in1 Arduino A5 is mapped to 1_in6 No other inputs are pinned out 41 tj

NIOS Character. Last updated 7/16/18

NIOS Character. Last updated 7/16/18 NIOS Character Last updated 7/16/18 Character Buffer Block Diagram CLK RST Clock Reset_bar CLK RST PLL 25MHz* CPU Onchip Memory JTAG UART Timer System ID S M S S S S S M S Character Buffer DMA Dual Port

More information

Intel Stratix 10 Analog to Digital Converter User Guide

Intel Stratix 10 Analog to Digital Converter User Guide Intel Stratix 10 Analog to Digital Converter User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

Altera ASMI Parallel II IP Core User Guide

Altera ASMI Parallel II IP Core User Guide Altera ASMI Parallel II IP Core User Guide UG-20068 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1... 3 1.1 Ports...4 1.2 Parameters... 5

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1 Introduction to the Altera Qsys System Integration Tool For Quartus Prime 15.1 1 Introduction This tutorial presents an introduction to Altera s Qsys system integration tool, which is used to design digital

More information

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán Nevis ADC Design Columbia University June 4, 2014 Outline The goals of the project Introductory remarks The road toward the design Components developed in Nevis09, Nevis10 and Nevis12 Nevis13 chip Architecture

More information

Quartus Counter Example. Last updated 9/6/18

Quartus Counter Example. Last updated 9/6/18 Quartus Counter Example Last updated 9/6/18 Create a logic design from start to a DE10 implementation This example uses best design practices This example is not about creating HDL The HDL code will be

More information

NIOS II Pixel Display

NIOS II Pixel Display NIOS Pixel Display SDRAM 512Mb Clock Reset_bar CPU Onchip Memory External Memory Controller JTAG UART Pixel DMA Resampler Scaler Dual Port FIFO VGA Controller Timer System ID VGA Connector PLL 2 tj SDRAM

More information

Altera s Avalon Communication Fabric

Altera s Avalon Communication Fabric Altera s Avalon Communication Fabric Stephen A. Edwards Columbia University Spring 2012 Altera s Avalon Bus Something like PCI on a chip Described in Altera s Avalon Memory-Mapped Interface Specification

More information

ASMI Parallel II Intel FPGA IP Core User Guide

ASMI Parallel II Intel FPGA IP Core User Guide ASMI Parallel II Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.... 3 1.1. Ports...4 1.2.

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference

More information

PRACTICAL DESIGN TECHNIQUES FOR SENSOR SIGNAL CONDITIONING

PRACTICAL DESIGN TECHNIQUES FOR SENSOR SIGNAL CONDITIONING 9 PRACTICAL DESIGN TECHNIQUES FOR SENSOR SIGNAL CONDITIONING 1 Introduction 2 Bridge Circuits 3 Amplifiers for Signal Conditioning 4 Strain, Force, Pressure, and Flow Measurements 5 High Impedance Sensors

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Exercise 4-1. DSP Peripherals EXERCISE OBJECTIVES

Exercise 4-1. DSP Peripherals EXERCISE OBJECTIVES Exercise 4-1 DSP Peripherals EXERCISE OBJECTIVES Upon completion of this exercise, you will be familiar with the specialized peripherals used by DSPs. DISCUSSION The peripherals found on the TMS320C50

More information

cpci6u-24dsi32r 32-Channel 24-Bit Delta-Sigma Analog Input Board

cpci6u-24dsi32r 32-Channel 24-Bit Delta-Sigma Analog Input Board cpci6u-24dsi32r 32-Channel 24-Bit Delta-Sigma Analog Input Board FEATURES: 32 Differential 24-Bit Analog Input Channels Delta-Sigma Converter per Channel, with Linear Phase Digital Antialias Filtering

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

3. Stratix II GX Dynamic Reconfiguration

3. Stratix II GX Dynamic Reconfiguration 3. Stratix II GX Dynamic Reconfiguration SIIGX52007-1.1 Introduction The Stratix II GX gigabit transceiver block gives you a simplified means to dynamically reconfigure: Transmit and receive analog settings

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

MAX 10. Memory Modules

MAX 10. Memory Modules MAX 10 Memory Modules Three types of on-chip memory FF based memory embedded in the LEs Most efficient for very small memories Compiler driven Embedded SRAM block 8K bits + 1024 parity bits (9216b) MAX

More information

12-Channel, 12-Bit PMC Analog Input/Output Board

12-Channel, 12-Bit PMC Analog Input/Output Board 12-Channel, 12-Bit PMC Analog Input/Output Board With Eight Simultaneously-Sampled Wide-Range Inputs at 2.0 MSPS per Channel, Four Analog Outputs, and 16-Bit Digital I/O Port Available also in PCI, cpci

More information

PLATINUM BY MSB TECHNOLOGY

PLATINUM BY MSB TECHNOLOGY Features Designed specifically for high resolution digital audio True voltage output, no I/V converter required Low unbuffered output impedance 500 Ohms Built in high speed buffer (B only) Ultra high dynamic

More information

Audio Controller i. Audio Controller

Audio Controller i. Audio Controller i Audio Controller ii Contents 1 Introduction 1 2 Controller interface 1 2.1 Port Descriptions................................................... 1 2.2 Interface description.................................................

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR CE 1911 Counters Counter Types Modulo Gray Code BC (ecimal) ecade Ring Johnson (twisted ring) LFSR Variations Asynchronous / Synchronous Up/own Loadable 2 tj Modulo-n (n = a power of 2) Asynchronous Count

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 2, 2009 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

PC104P-24DSI6LN. Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module. With 200 KSPS Sample Rate per Channel

PC104P-24DSI6LN. Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module. With 200 KSPS Sample Rate per Channel PC104P-24DSI6LN Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module With 200 KSPS Sample Rate per Channel Available also in PCI, cpci and PMC form factors as: PCI-24DSI6LN: cpci-24dsi6ln:

More information

Intel MAX 10 General Purpose I/O User Guide

Intel MAX 10 General Purpose I/O User Guide Intel MAX 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 I/O Overview...3

More information

In our case Dr. Johnson is setting the best practices

In our case Dr. Johnson is setting the best practices VHDL Best Practices Best Practices??? Best practices are often defined by company, toolset or device In our case Dr. Johnson is setting the best practices These rules are for Class/Lab purposes. Industry

More information

MAX 10 General Purpose I/O User Guide

MAX 10 General Purpose I/O User Guide MAX 10 General Purpose I/O User Guide Subscribe UG-M10GPIO 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 I/O Overview... 1-1 MAX 10 Devices I/O Resources Per Package...1-1

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 3, 2011 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

4. SOPC Builder Components

4. SOPC Builder Components 4. SOPC Builder Components VGA Core for Altera DE2/DE1 Boards QII544-6.. Introduction 1 Core Overview This chapter describes in detail what an SOPC Builder component is. SOPC Builder components are individual

More information

AN-1055 APPLICATION NOTE

AN-1055 APPLICATION NOTE AN-155 APPLICATION NOTE One Technology Way P.O. Box 916 Norwood, MA 262-916, U.S.A. Tel: 781.329.47 Fax: 781.461.3113 www.analog.com EMC Protection of the AD7746 by Holger Grothe and Mary McCarthy INTRODUCTION

More information

PC104P-24DSI Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board

PC104P-24DSI Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board PC104P-24DSI12 12-Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board With 200 KSPS Sample Rate per Channel and Optional Low-Power Configuration Available also in PCI, cpci and PMC form factors as:

More information

Intel FPGA Voltage Sensor IP Core User Guide

Intel FPGA Voltage Sensor IP Core User Guide Intel FPGA Voltage Sensor IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Voltage Sensor

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

7. External Memory Interfaces in Arria II Devices

7. External Memory Interfaces in Arria II Devices ecember 2010 AIIGX51007-4.0 7. External Memory Interfaces in Arria II evices AIIGX51007-4.0 This chapter describes the hardware features in Arria II devices that facilitate high-speed memory interfacing

More information

16-Bit, 12-Channel, 2-MSPS PMC Analog Input/Output Board

16-Bit, 12-Channel, 2-MSPS PMC Analog Input/Output Board PMC66-16AISS8AO4 16-Bit, 12-Channel, 2-MSPS PMC Analog Input/Output Board With Eight Simultaneously Sampled Analog Inputs, Four Analog Outputs, and Input Sampling Rates to 2.0 MSPS per channel Available

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter

More information

PC104P66-16HSDI4AO4:

PC104P66-16HSDI4AO4: PMC66-16HSDI4AO4 16-Bit, 8-Channel, 1-MSPS PMC Analog Input/Output Board With Four Simultaneously Sampled Sigma-Delta Analog Inputs, and Four Buffered Analog Outputs, Available also in PCI, cpci and PC104-Plus

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

16-Bit, 12-Channel, 2-MSPS PMC Analog Input/Output Board

16-Bit, 12-Channel, 2-MSPS PMC Analog Input/Output Board 66-16AISS8AO4 16-Bit, 12-Channel, 2-MSPS PMC Analog Input/Output Board With Eight Simultaneously Sampled Analog Inputs, Four Analog Outputs, and Input Sampling Rates to 2.0 MSPS per channel Available in

More information

OTU2 I.4 FEC IP Core (IP-OTU2EFECI4Z) Data Sheet

OTU2 I.4 FEC IP Core (IP-OTU2EFECI4Z) Data Sheet OTU2 I.4 FEC IP Core (IP-OTU2EFECI4Z) Data Sheet Revision 0.08 Release Date 2014-03-29 Document number TD0307 . All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX

More information

Digital System Construction

Digital System Construction Digital System Construction FYSIKUM Lecture 4: More VHDL, memory, PRNG Arithmetic Memories Pipelines and buffers Pseudorandom numbers IP core generation in Vivado Introduction to Lab 3 Digital Systemkonstruktion

More information

Intel FPGA Temperature Sensor IP Core User Guide

Intel FPGA Temperature Sensor IP Core User Guide Intel FPGA Temperature Sensor IP Core User Guide UG-01074 2017.09.14 Subscribe Send Feedback Contents Contents... 3 Intel FPGA Temperature Sensor Features...3 Intel FPGA Temperature Sensor Functional Description...

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3.

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3. RTC Interface 89C51 Vcc P1.0 10k 10k 5 SDA DS 1307 8 VCC P1.1 6 SCL X1 1 + 3 BAT X2 2 32.768KHz - 3.6V 4 GND INTB\SQW 7 M. Krishna Kumar MAM/M7/LU17/V1/2004 1 RTC Interface contd. DS 1307 is a real time

More information

Making Qsys Components. 1 Introduction. For Quartus II 13.0

Making Qsys Components. 1 Introduction. For Quartus II 13.0 Making Qsys Components For Quartus II 13.0 1 Introduction The Altera Qsys tool allows a digital system to be designed by interconnecting selected Qsys components, such as processors, memory controllers,

More information

C ELEMENTS LINEAR IMAGE SENSOR DATA SHEET

C ELEMENTS LINEAR IMAGE SENSOR DATA SHEET March 2008 4000 ELEMENTS LINEAR IMAGE SENSOR DATA SHEET Website: http://www.csensor.com / E-mail : sales@csensor.com March 06, 2007 Page 1 Contents 1. General description ------------------------------------------------------

More information

Wave Generator Xpress

Wave Generator Xpress ! READ THIS FIRST! This revision of Wave Generator Data Sheet is valid for devices sold from September 2011. Please check your unit hardware revision. The hardware revision is composed of the 2 first digits

More information

OTU2 I.9 FEC IP Core (IP-OTU2EFECI9) Data Sheet

OTU2 I.9 FEC IP Core (IP-OTU2EFECI9) Data Sheet OTU2 I.9 FEC IP Core (IP-OTU2EFECI9) Data Sheet Revision 0.02 Release Date 2015-02-11 Document number . All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and ARRIA words

More information

EEC180B DIGITAL SYSTEMS Spring University of California, Davis. Department of Electrical and Computer Engineering

EEC180B DIGITAL SYSTEMS Spring University of California, Davis. Department of Electrical and Computer Engineering University of California, Davis Department of Electrical and Computer Engineering Tutorial: Instantiating and Using a PLL on the DE10 LITE Objective: This tutorial explains how to configure and instantiate

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

Partial Reconfiguration Solutions IP User Guide

Partial Reconfiguration Solutions IP User Guide Partial Reconfiguration Solutions IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Introduction... 3 1.1

More information

Lecture-50 Intel 8255A: Programming and Operating Modes

Lecture-50 Intel 8255A: Programming and Operating Modes Lecture-50 Intel 8255A: Programming and Operating Modes Operation Description: There are three basic modes of operation that can be selected by the system software. Mode 0: Basic Input/output Mode 1: Strobes

More information

4.1 QUANTIZATION NOISE

4.1 QUANTIZATION NOISE DIGITAL SIGNAL PROCESSING UNIT IV FINITE WORD LENGTH EFFECTS Contents : 4.1 Quantization Noise 4.2 Fixed Point and Floating Point Number Representation 4.3 Truncation and Rounding 4.4 Quantization Noise

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

Embedded Systems. "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL

Embedded Systems. System On Programmable Chip Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL Embedded Systems "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools René Beuchat LAP - EPFL rene.beuchat@epfl.ch 3 Tools suite Goals: to be able to design a programmable

More information

Quick start ADC1410S, ADC1210S and ADC1010S series (F1 or F2 versions) Demonstration board for ADC1410S, ADC1210S and ADC1010S series

Quick start ADC1410S, ADC1210S and ADC1010S series (F1 or F2 versions) Demonstration board for ADC1410S, ADC1210S and ADC1010S series ADC1410S, ADC1210S and ADC1010S series (F1 or F2 Demonstration board for ADC1410S, ADC1210S and ADC1010S series Rev. 06 2 July 2012 Document information Info Keywords Abstract Content PCB2122-2, Demonstration

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

7. Integrated Data Converters

7. Integrated Data Converters Intro Flash SAR Integrating Delta-Sigma /43 7. Integrated Data Converters Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma

More information

PC104P66-18AISS6C: 18-Bit, 6-Channel, 550KSPS Analog Input Module. With Six Simultaneously Sampled Analog Inputs and 8-Bit Digital I/O Port

PC104P66-18AISS6C: 18-Bit, 6-Channel, 550KSPS Analog Input Module. With Six Simultaneously Sampled Analog Inputs and 8-Bit Digital I/O Port 66-18AISS6C 18-Bit, 6-Channel, 550KSPS Analog Input Module With Six Simultaneously Sampled Analog Inputs and 8-Bit Digital I/O Port Available in PMC, PCI, cpci and PC104-Plus and PCI Express form factors

More information

Digitaalsüsteemide disain

Digitaalsüsteemide disain IAY 0600 Digitaalsüsteemide disain VHDL discussion Verification: Testbenches Design verification We want to verify that our design is correct before the target PLD is programmed. The process performed

More information

CEIBO FE-5111 Development System

CEIBO FE-5111 Development System CEIBO FE-5111 Development System Development System for Atmel W&M T89C5111 Microcontrollers FEATURES Emulates Atmel W&M T89C5111 4K Code Memory Real-Time Emulation and Trace Frequency up to 33MHz/5V ISP

More information

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents VHDL Testbench Tutorial 1 Contents 1 VHDL Testbench 2 Test Bench Syntax 3 Testbench Example: VHDL Code for Up Down Binary Counter 4 VHDL Testbench code for up down binary counter 5 Testbench Waveform for

More information

EPCQA Serial Configuration Device Datasheet

EPCQA Serial Configuration Device Datasheet EPCQA Serial Configuration Device Datasheet CF52014 2017.08.02 Subscribe Send Feedback Contents Contents 1.1 Supported Devices...3 1.2 Features...3 1.3 Operating Conditions...4 1.3.1 Absolute Maximum Ratings...

More information

PCI-16HSDI: 16-Bit, Six-Channel Sigma-Delta Analog Input PMC Board. With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks

PCI-16HSDI: 16-Bit, Six-Channel Sigma-Delta Analog Input PMC Board. With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks PMC-16HSDI 16-Bit, Six-Channel Sigma-Delta Analog Input PMC Board With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks Available also in PCI, cpci and PC104-Plus form factors as: PCI-16HSDI:

More information

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0 Using the SDRAM on Altera s DE1 Board with Verilog Designs For Quartus II 13.0 1 Introduction This tutorial explains how the SDRAM chip on Altera s DE1 Development and Education board can be used with

More information

Embedded Systems. "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL

Embedded Systems. System On Programmable Chip Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL Embedded Systems "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools René Beuchat LAP - EPFL rene.beuchat@epfl.ch 3 Tools suite Goals: to be able to design a programmable

More information

PCIe-16AO64C. 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board. With Optional Outputs-Disconnect

PCIe-16AO64C. 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board. With Optional Outputs-Disconnect PCIe-16AO64C 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board With Optional Outputs-Disconnect Features Include: Precision 16-Bit simultaneously-clocked analog outputs: R-2R DAC per channel

More information

12-BIT, 200-KSPS, 11 CHANNEL, LOW POWER, SERIAL ADC WITH INTERNAL REFERENCE

12-BIT, 200-KSPS, 11 CHANNEL, LOW POWER, SERIAL ADC WITH INTERNAL REFERENCE 2-BIT, 2-KSPS, CHANNEL, LOW POWER, SERIAL ADC WITH INTERNAL REFERENCE FEATURES 2-Bit-Resolution A/D Converter 2-KSPS (5-KSPS for 3 V) Throughput Over Operating Temperature Range Analog Input Channels 3

More information

Implementing Fractional PLL Reconfiguration with ALTERA_PLL and ALTERA_PLL_RECONFIG Megafunctions

Implementing Fractional PLL Reconfiguration with ALTERA_PLL and ALTERA_PLL_RECONFIG Megafunctions Implementing Fractional PLL Reconfiguration with ALTERA_PLL and ALTERA_PLL_RECONFIG AN-661-1.1 Application Note This application note describes the flow for implementing fractional phase-locked loop (PLL)

More information

PCIe-20AO8C500K. 20-Bit 8-Output 500KSPS Precision Wideband. PCI Express Short-Card Analog Output Module

PCIe-20AO8C500K. 20-Bit 8-Output 500KSPS Precision Wideband. PCI Express Short-Card Analog Output Module PCIe-20AO8C500K 20-Bit 8-Output 500KSPS Precision Wideband PCI Express Short-Card Analog Output Module Features Include: Eight Single-ended or 3-Wire Differential 20-Bit analog output channels. Simultaneous

More information

Figure 1 The encoding process for the µ law

Figure 1 The encoding process for the µ law The encoding process for the µ law As it is presented in Figure 1, the voice signal is applied to the input of a linear analog-digital converter on 14 bits, at the output of this converter being obtained

More information

AIAO U CompactPCI Analog I/O Card. User Manual. 13 Altalef St. Yehud, Israel Tel: 972 (3) Fax: 972 (3)

AIAO U CompactPCI Analog I/O Card. User Manual.  13 Altalef St. Yehud, Israel Tel: 972 (3) Fax: 972 (3) AIAO-0700 3U CompactPCI Analog I/O Card User Manual 13 Altalef St. Yehud, Israel 56216 Tel: 972 (3) 632-0533 Fax: 972 (3) 632-0458 www.tenta.com 919 Kifer Road Sunnyvale, CA 94086 USA Tel: (408) 328-1370

More information

EFM8LB1 Analog to Digital Converter (ADC) 2 2 S E P T E M B E R

EFM8LB1 Analog to Digital Converter (ADC) 2 2 S E P T E M B E R EFM8LB1 Analog to Digital Converter (ADC) 2 2 S E P T E M B E R 2 0 1 5 Agenda ADC Overview Input Selection, Gain Setting, Reference Option Clock Selection, Timing, Trigger Source Track Time calculation

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8 CONTENTS CHAPTER 1 Introduction of the tnano Board... 2 1.1 Features...2 1.2 About the KIT...4 1.3 Getting Help...4 CHAPTER 2 tnano Board Architecture... 5 2.1 Layout and Components...5 2.2 Block Diagram

More information

Introduction to VHDL Design on Quartus II and DE2 Board

Introduction to VHDL Design on Quartus II and DE2 Board ECP3116 Digital Computer Design Lab Experiment Duration: 3 hours Introduction to VHDL Design on Quartus II and DE2 Board Objective To learn how to create projects using Quartus II, design circuits and

More information

BE/EE189 Design and Construction of Biodevices Lecture 5. BE/EE189 Design and Construction of Biodevices - Caltech

BE/EE189 Design and Construction of Biodevices Lecture 5. BE/EE189 Design and Construction of Biodevices - Caltech BE/EE189 Design and Construction of Biodevices Lecture 5 LabVIEW Programming Data acquisition DAQ system Signals and signal conditioning Nyquist frequency NI ELVIS II NI-DAQmx and DAQ assistant LabVIEW

More information

ECE 480 Team 5 Introduction to MAVRK module

ECE 480 Team 5 Introduction to MAVRK module ECE 480 Team 5 Introduction to MAVRK module Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh Definition of MAVRK Component of MAVRK starter Kit Component of umavrk Module design procedure

More information

CPLD board datasheet EB

CPLD board datasheet EB CPLD board datasheet EB020-00-3 Contents. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix Circuit diagram Copyright

More information

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Device

More information

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz Datasheet The SLC is a very affordable single or dual clock 7 GHz synthesizer that exhibits outstanding phase noise and jitter performance in a very

More information

APEX DSP Development Board

APEX DSP Development Board APEX DSP Development Board (Starter Version) April 2002, ver. 1.3 Data Sheet Features Powerful development board for digital signal processing (DSP) designs featuring the APEX EP20K200E-1X device in a

More information

EV76C Mpixels Monochrome and Sparse CMOS Image sensor

EV76C Mpixels Monochrome and Sparse CMOS Image sensor FEATURES EV76C664 1.3 Mpixels Monochrome and Sparse CMOS Image sensor 1.3 million (1280 x 1024) pixels, 10 µm square pixels with shifted micro-lens Optical format 1 Aspect Ratio : 5/4 100fps @ full resolution

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

GR8BIT as a digital oscilloscope

GR8BIT as a digital oscilloscope July 01, 2013 Severity: Information Eugeny Brychkov, RU GR8BIT as a digital oscilloscope Introduction Background: In the course of development and testing of the GR8BUS master board, we faced the issue

More information

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to?

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to? ECE3710 Exam 2. Name _ Spring 2013. 5 pages. 102 points, but scored out of 100. You may use any non-living resource to complete this exam. Any hint of cheating will result in a 0. Part 1 Short Answer 1.

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

CCVPX-16AI32SSC1M. 32-Channel, Differential, 16-Bit Simultaneous Sampling; Conduction-Cooled VPX Analog Input Board

CCVPX-16AI32SSC1M. 32-Channel, Differential, 16-Bit Simultaneous Sampling; Conduction-Cooled VPX Analog Input Board CCVPX-16AI32SSC1M 32-Channel, Differential, 16-Bit Simultaneous Sampling; Conduction-Cooled VPX Analog Input Board With 1.0MSPS Sample Rate per Channel, Time-tagging, Low-latency access, and Front-Panel

More information