NIOS II Pixel Display

Size: px
Start display at page:

Download "NIOS II Pixel Display"

Transcription

1 NIOS Pixel Display

2 SDRAM 512Mb Clock Reset_bar CPU Onchip Memory External Memory Controller JTAG UART Pixel DMA Resampler Scaler Dual Port FIFO VGA Controller Timer System ID VGA Connector PLL 2 tj

3 SDRAM Controller Creates the signals required to r/w the SDRAM (does not provide the DRAM clk) 3 tj

4 Pixel Buffer DMA Controller Reads frames from external memory and passes them into the video processing chain 4 tj

5 RGB Resampler Converts from 16bit RGB to 10bit RGB 5 tj

6 Scaler Scales the video by replicating pixels or removing pixels 6 tj

7 Dual Clock FIFO Allows different incoming and outgoing data rates 7 tj

8 VGA Controller Block Creates and drives the required VGA signals 8 tj

9 Create NIOS System On-chip Memory Size = 100,000 bytes 9 tj

10 Create NIOS System PLL Basic Functions Clocks and PLLs PLL Avalon ALTPLL 50MHz in no areset or locked c0 100MHz c1 100MHz -3ns phase shift c2 25MHz 10 tj

11 Create NIOS System Pixel Buffer DMA Controller IP Catalog Library University Program Audio and Video Video Pixel Buffer DMA Controller x-y mode Width 320 Height 240 Color space 16bit RGB 11 tj

12 Create NIOS System RGB Resampler IP Catalog Library University Program Audio and Video Video RGB Resampler 16 bit RGB incoming 30 bit RGB outgoing 12 tj

13 Create NIOS System RGB Scaler IP Catalog Library University Program Audio and Video Video Scaler Width Scaling 2 Height Scaling 2 Width 320 Height bits 3 planes 13 tj

14 Create NIOS System Dual Clock FIFO IP Catalog Library University Program Audio and Video Video Dual Clock FIFO Color Bits 10 Color Planes tj

15 Create NIOS System VGA Controller IP Catalog Library University Program Audio and Video Video VGA Controller DE1-SOC VGA Connector VGA 640x tj

16 Create NIOS System SDRAM Controller IP Catalog Library Memory Interfaces and Controllers SDRAM SDRAM Controller Memory Profile 16 bits 1 chip select 4 banks 13 rows 10 columns Timing CAS = 3 2 initialization refresh cycles us refresh command 100us delay after pu 70ns refresh duration 20ns precharge duration 5.5ns access time 14ns write recovery time 16 tj

17 Create NIOS System Connections 17 tj

18 Create NIOS System Connections 18 tj

19 Create NIOS System Connections goes to resampler 19 tj

20 Create NIOS System Connections goes to vga controller 20 tj

21 Create NIOS System Connections 21 tj

22 Create NIOS System Generate the HDL When complete File Exit to exit Qsys 22 tj

23 Create Quartus System VGA Pixel Driver Create a top level schematic or instantiate into a VHDL file File New Block Diagram / Schematic Entry File Save As nios_pixel_top Project Add Files navigate to the project synthesis select the nios_pixel.qip file 23 tj

24 Create Quartus System VGA Pixel Driver Create a top level schematic or instantiate into a VHDL file 24 tj

25 Create Quartus System VGA Pixel Driver Prepare to synthesize assignments device device and Pin options Single Uncompressed with memory initialization Import the pins (qsf file) Be sure to set your top level entity Start Compilation 25 tj

26 Create Quartus System VGA Pixel Driver Complete the HW setup Download the HW project onto the board DO NOT CLOSE either of these windows 26 tj

27 Create Eclipse System Create the BSP Remember to change the properties for small systems Create an empty project 27 tj

28 Create Eclipse System Open system.h in the bsp scroll down to the Pixel Buffer These define parameters for the Pixel Buffer 28 tj

29 Create Eclipse System Expand drivers inc in the bsp Open altera_up_avalon_pixel_buffer_with_dma.h 29 tj

30 Create Eclipse System Expand drivers inc in the bsp Open altera_up_avalon_pixel_buffer_with_dma.h 30 tj

31 Create Eclipse System Expand drivers inc in the bsp Open altera_up_avalon_pixel_buffer_with_dma.h 31 tj

32 Create Eclipse System Expand drivers inc in the bsp Open altera_up_avalon_pixel_buffer_with_dma.h 32 tj

33 Create Eclipse System Write a program to print some Pixels to the screen ///////////////// // Includes ///////////////// #include "altera_up_avalon_video_pixel_buffer_dma.h" #include <stdio.h> #include <unistd.h> #include <stdlib.h> #include <stdint.h> int main(void){ // define a pointer of type pixel_buffer... // to use as a reference in the dma functions // alt_up_pixel_buffer_dma_dev * pixel_buf_dma_dev; // open the Pixel Buffer port // - command is in drivers/inc/alter...video_pixel_buffer_dma.h // name reference is in system.h // - "/dev/video_pixel_buffer_dma_0" // pixel_buf_dma_dev = alt_up_pixel_buffer_dma_open_dev ("/dev/video_pixel_buffer_dma_0"); // Check for error and output to the console // if ( pixel_buf_dma_dev == NULL) printf ("Error: could not open pixel buffer device \n"); else printf ("Opened pixel buffer device \n"); 33 tj

34 Create Eclipse System Write a program to print some Pixels to the screen // Clear the screen // - command is in drivers/inc/alter...video_pixel_buffer_dma.h // - wait until done before continuing // alt_up_pixel_buffer_dma_clear_screen (pixel_buf_dma_dev, 0); usleep( );// 1sec // Draw a box // - command is in drivers/inc/alter...video_pixel_buffer_dma.h // alt_up_pixel_buffer_dma_draw_box (pixel_buf_dma_dev, 150, 100, 200, 150, 0xFA72, 0); 34 tj

35 Create Eclipse System Write a program to print some Pixels to the screen // // Party Time // while(1){ uint16_t X0 = rand() % 320; uint16_t Y0 = rand() % 240; uint16_t XX = X0 + rand() % 100; uint16_t YY = Y0 + rand() % 100; uint16_t color = rand() % 0xFFFF; alt_up_pixel_buffer_dma_draw_box (pixel_buf_dma_dev, X0, Y0, XX, YY, color, 0); usleep(250000); X0 = rand() % 320; Y0 = rand() % 240; XX = X0 + rand() % 100; YY = Y0 + rand() % 100; color = rand() % 0xFFFF; alt_up_pixel_buffer_dma_draw_rectangle (pixel_buf_dma_dev, X0, Y0, XX, YY, color, 0); usleep(250000); } 35 tj

36 Create Eclipse System Compile the software Select the code file (pixel.c) Project Build Project Right Click on the project run as Nios II Hardware 36 tj

NIOS Character. Last updated 7/16/18

NIOS Character. Last updated 7/16/18 NIOS Character Last updated 7/16/18 Character Buffer Block Diagram CLK RST Clock Reset_bar CLK RST PLL 25MHz* CPU Onchip Memory JTAG UART Timer System ID S M S S S S S M S Character Buffer DMA Dual Port

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

ECE-6170 Embedded Systems Laboratory Exercise 3

ECE-6170 Embedded Systems Laboratory Exercise 3 ECE-6170 Embedded Systems Laboratory Exercise 3 The purpose of this exercise is to learn how to connect simple input and output devices to an FPGA chip and use the Nios II processor to interface with parallel

More information

Objective: Create an interface to the LCD display, internal timer functions, and interface with the SDRAM memory as well as on chip memory.

Objective: Create an interface to the LCD display, internal timer functions, and interface with the SDRAM memory as well as on chip memory. Lab 2 LCD display and external memory interfacing Objective: Create an interface to the LCD display, internal timer functions, and interface with the SDRAM memory as well as on chip memory. Topics Covered:

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems NIOS-II SoPC: PART-II 1 Introduction This lab has been constructed to introduce the development of dedicated

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Embedded Systems. System On Programmable Chip NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs. Embedded Systems "System On Programmable Chip" NIOS II Avalon Bus René Beuchat Laboratoire d'architecture des Processeurs rene.beuchat@epfl.ch 3 Embedded system on Altera FPGA Goal : To understand the

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

Creating projects with Nios II for Altera De2i-150. By Trace Stewart CPE 409

Creating projects with Nios II for Altera De2i-150. By Trace Stewart CPE 409 Creating projects with Nios II for Altera De2i-150 By Trace Stewart CPE 409 CONTENTS Chapter 1 Hardware Design... 1 1.1 Required Features... 1 1.2 Creation of Hardware Design... 1 Chapter 2 Programming

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

Graphics Controller Core

Graphics Controller Core Core - with 2D acceleration functionalities Product specification Prevas AB PO Box 4 (Legeringsgatan 18) SE-721 03 Västerås, Sweden Phone: Fax: Email: URL: Features +46 21 360 19 00 +46 21 360 19 29 johan.ohlsson@prevas.se

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1 Introduction to the Altera Qsys System Integration Tool For Quartus Prime 15.1 1 Introduction This tutorial presents an introduction to Altera s Qsys system integration tool, which is used to design digital

More information

Section II. Peripheral Support

Section II. Peripheral Support Section II. Peripheral Support This section provides information about the Nios II peripherals. This section includes the following chapters: Chapter 5, SDRAM Controller with Avalon Interface Chapter 6,

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005C: A Qsys based Nios II Reference design with a simple HyperFlash test device using S/Labs' HBMC IP and S/Labs' Memory Region Mapper IP This

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001: A Qsys based Nios II Reference design with HelloWorld test running in HyperRAM device using S/Labs' HBMC IP This tutorial describes a simple

More information

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction Introduction to the Altera SOPC Builder Using Verilog Designs 1 Introduction This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the

More information

Lancelot. VGA video controller for the Altera Excalibur processors. v2.1. Marco Groeneveld May 1 st,

Lancelot. VGA video controller for the Altera Excalibur processors. v2.1. Marco Groeneveld May 1 st, Lancelot VGA video controller for the Altera Excalibur processors. v2.1 Marco Groeneveld May 1 st, 2003 http://www.fpga.nl 1. Description Lancelot is a VGA video controller for the Altera Nios and Excalibur

More information

4. SOPC Builder Components

4. SOPC Builder Components 4. SOPC Builder Components VGA Core for Altera DE2/DE1 Boards QII544-6.. Introduction 1 Core Overview This chapter describes in detail what an SOPC Builder component is. SOPC Builder components are individual

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

A. FPGA Basics Manuals

A. FPGA Basics Manuals A. FPGA Basics Manuals In this practical course, Field-Programmable Gate Array (FPGA) is used as the experimental platform, which means to creation of a hardware description for the FPGA and writing software

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005B: A Qsys based Nios II Reference design with a simple application running from HyperFlash and HyperRAM device using S/Labs' HBMC IP. The HyperRAM

More information

CSCE 313: Embedded System Design. Introduction. Instructor: Jason D. Bakos

CSCE 313: Embedded System Design. Introduction. Instructor: Jason D. Bakos CSCE 313: Embedded System Design Introduction Instructor: Jason D. Bakos Introduction to CSCE 313 Teaching assistants (Storey, room 2236): Charles Daniels (cad3@email.sc.edu) Office hours: M 10:40 to 11:30,

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

AN 812: Qsys Pro System Design Tutorial

AN 812: Qsys Pro System Design Tutorial AN 812: Qsys Pro System Design Tutorial AN-812 2017.08.15 Subscribe Send Feedback Contents Contents Qsys Pro System Design Tutorial... 3 Hardware and Software Requirements... 4 Download and Install the

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

Building A Custom System-On-A-Chip

Building A Custom System-On-A-Chip Building A Custom System-On-A-Chip Only a few years ago, we could only dream about building our very own custom microprocessor system on a chip. The manufacturing cost for producing a custom chip is just

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001A: A Qsys based Nios II Reference design with a simple self test of the HyperFlash and HyperRAM device using S/Labs' HBMC IP This tutorial

More information

CSCE 313: Embedded Systems. Video Out and Image Transformation. Instructor: Jason D. Bakos

CSCE 313: Embedded Systems. Video Out and Image Transformation. Instructor: Jason D. Bakos CSCE 313: Embedded Systems Video Out and Image Transformation Instructor: Jason D. Bakos Annoucements Demo your Lab 1 to instructor/ta on Monday Save your Lab 1 in a separate directory Ex. cp a ~/lights

More information

EEC180B DIGITAL SYSTEMS Spring University of California, Davis. Department of Electrical and Computer Engineering

EEC180B DIGITAL SYSTEMS Spring University of California, Davis. Department of Electrical and Computer Engineering University of California, Davis Department of Electrical and Computer Engineering Tutorial: Instantiating and Using a PLL on the DE10 LITE Objective: This tutorial explains how to configure and instantiate

More information

System Cache (CMS-T002/CMS-T003) Tutorial

System Cache (CMS-T002/CMS-T003) Tutorial Synaptic Labs' System Cache (CMS-T002/CMS-T003) Tutorial T006A: Arduino Style Nios II/e embedded system: A Qsys Nios II Reference design based on S/Labs' HBMC IP and S/Labs' System Cache for accelerating

More information

NIOS II Instantiating the Off-chip Trace Logic

NIOS II Instantiating the Off-chip Trace Logic NIOS II Instantiating the Off-chip Trace Logic TRACE32 Online Help TRACE32 Directory TRACE32 Index TRACE32 Documents... ICD In-Circuit Debugger... Processor Architecture Manuals... NIOS... NIOS II Application

More information

Tutorial of Interfacing with RS232 UART

Tutorial of Interfacing with RS232 UART Tutorial of Interfacing with RS232 UART Kwan Yin Lau (group 3) Feb 7, 2014 Introduction This tutorial will demonstrate how to interface the RS232 UART port on the Altera DE2 board in order to send and

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Custom Components for NIOS II Systems Dr. D. J. Jackson Lecture 15-1 Qsys Components A Qsys component includes the following elements: Information about the component type, such

More information

Introduction to VHDL Design on Quartus II and DE2 Board

Introduction to VHDL Design on Quartus II and DE2 Board ECP3116 Digital Computer Design Lab Experiment Duration: 3 hours Introduction to VHDL Design on Quartus II and DE2 Board Objective To learn how to create projects using Quartus II, design circuits and

More information

Audio core for Intel DE-Series Boards. 1 Core Overview. 2 Functional Description. For Quartus Prime 16.1

Audio core for Intel DE-Series Boards. 1 Core Overview. 2 Functional Description. For Quartus Prime 16.1 Audio core for Intel DE-Series Boards For Quartus Prime 16.1 1 Core Overview The Audio core interacts with the Audio CODEC (encoder/decoder) on the Intel DE-series boards and provides an interface for

More information

Laboratory Exercise 8

Laboratory Exercise 8 Laboratory Exercise 8 Memory Blocks In computer systems it is necessary to provide a substantial amount of memory. If a system is implemented using FPGA technology it is possible to provide some amount

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

3-D Accelerator on Chip

3-D Accelerator on Chip 3-D Accelerator on Chip Third Prize 3-D Accelerator on Chip Institution: Participants: Instructor: Donga & Pusan University Young-Hee Won, Jin-Sung Park, Woo-Sung Moon Sam-Hak Jin Design Introduction Recently,

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Making Qsys Components. 1 Introduction. For Quartus II 13.0

Making Qsys Components. 1 Introduction. For Quartus II 13.0 Making Qsys Components For Quartus II 13.0 1 Introduction The Altera Qsys tool allows a digital system to be designed by interconnecting selected Qsys components, such as processors, memory controllers,

More information

Synaptic Labs (S/Labs) HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices

Synaptic Labs (S/Labs) HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices Benjamin Gittins Chief Technical Officer Mbl: +995 551 026 588 b.gittins@synaptic-labs.com Synaptic Laboratories Ltd. Company ID 41272593 www.synaptic-labs.com info@synaptic-labs.com Monday, July 16, 2018

More information

Computer Systems Laboratory Sungkyunkwan University

Computer Systems Laboratory Sungkyunkwan University DRAMs Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Main Memory & Caches Use DRAMs for main memory Fixed width (e.g., 1 word) Connected by fixed-width

More information

Sega Genesis Controller Interfacing

Sega Genesis Controller Interfacing Sega Genesis Controller Interfacing Mason Strong, Stephen Just 2016-04-02 1 Introduction The Sega Genesis was an old 16-bit game console that was released in North America in 1989. [1] This console features

More information

Arria 10 JESD204B IP Core Design Example User Guide

Arria 10 JESD204B IP Core Design Example User Guide Arria 10 JESD204B IP Core Design Example User Guide UG-DEX-A10-JESD204B 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1 Arria 10 JESD204B

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

Microtronix Firefly II Module

Microtronix Firefly II Module Microtronix Firefly II Module USER MANUAL Revision 1.2.1 4056 Meadowbrook Dr. Unit 126 London, ON Canada N6L 1E3 www.microtronix.com This datasheet provides information regarding the Firefly II module.

More information

Introduction to the Altera SOPC Builder Using Verilog Design

Introduction to the Altera SOPC Builder Using Verilog Design Introduction to the Altera SOPC Builder Using Verilog Design This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the Nios II processor

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

Designing a High Performance SDRAM Controller Using ispmach Devices

Designing a High Performance SDRAM Controller Using ispmach Devices February 2002 Reference Design RD1007 Introduction Synchronous DRAMs have become the memory standard in many designs. They provide substantial advances in DRAM performance. They synchronously burst data

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

Mudd Adventure. A 3D Raycasting Game. CSEE 4840 Embedded Systems. Project Design 3/27/2014

Mudd Adventure. A 3D Raycasting Game. CSEE 4840 Embedded Systems. Project Design 3/27/2014 Mudd Adventure A 3D Raycasting Game CSEE 4840 Embedded Systems Project Design 3/27/2014 Mingrui Xu(mx2151) Wei Cao (wc2467) Bowen Dang (bd2384) Shijie Hu (sh3251) Table of Contents Introduction... 3 Hardware...

More information

Microtronix Avalon I 2 C

Microtronix Avalon I 2 C Microtronix Avalon I 2 C User Manual 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com This user guide provides basic information about using the Microtronix Avalon I 2 C IP. The following

More information

SISTEMI EMBEDDED. Building a Nios II Computer from scratch. Federico Baronti Last version:

SISTEMI EMBEDDED. Building a Nios II Computer from scratch. Federico Baronti Last version: SISTEMI EMBEDDED Building a Nios II Computer from scratch Federico Baronti Last version: 20160321 1 Introduction Problem: Build a (NIOS II) Computer tailored to application needs Solutions: Use library

More information

Getting Started With the Nios II DPX Datapath Processor ---Version

Getting Started With the Nios II DPX Datapath Processor ---Version Getting Started With the Nios II DPX Datapath Processor ---Version 11.0--- This tutorial teaches you how to develop a complete system employing Altera event-driven datapath processing. In this tutorial,

More information

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 Features RoHS 520MHz Low-power ARM processor w/ 800 x 600 Color LCD Power Over Ethernet and 10/100BASE-T Ethernet GPS module

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

Introduction to Zynq

Introduction to Zynq Introduction to Zynq Lab 2 PS Config Part 1 Hello World October 2012 Version 02 Copyright 2012 Avnet Inc. All rights reserved Table of Contents Table of Contents... 2 Lab 2 Objectives... 3 Experiment 1:

More information

MAX 10 - ADC. Last updated 8/12/18

MAX 10 - ADC. Last updated 8/12/18 MAX 10 - Last updated 8/12/18 A/D Analog to Digital Conversion Most of the real world is analog temperature, pressure, voltage, current, To work with these values in a computer we must convert them into

More information

Embedded Systems. "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL

Embedded Systems. System On Programmable Chip Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL Embedded Systems "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools René Beuchat LAP - EPFL rene.beuchat@epfl.ch 3 Tools suite Goals: to be able to design a programmable

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T002A: A Qsys based Nios II reference design using Intel s MSGDMA to benchmark memory copy operations on the HyperRAM device using S/Labs' HBMC

More information

Excellent for XIP applications"

Excellent for XIP applications Synaptic Labs' Tiny System Cache (CMS-T003) Tutorial T001A: Boot from On-chip Flash: A Qsys based Nios II Reference design based on S/Labs' Tiny System Cache IP and Intel's On-chip Flash Memory Controller

More information

Synaptic Labs' Hyperbus Controller Design Guidelines

Synaptic Labs' Hyperbus Controller Design Guidelines Synaptic Labs' Hyperbus Controller Design Guidelines Table of Contents Introduction...1 1.0 Synaptic Labs' HBMC Controller IP Qsys Component...3 2.0 Typical S/Labs HBMC connection in Qsys...4 3.0 Typical

More information

Embedded Systems. "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL

Embedded Systems. System On Programmable Chip Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL Embedded Systems "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools René Beuchat LAP - EPFL rene.beuchat@epfl.ch 3 Tools suite Goals: to be able to design a programmable

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 Features Small, low cost, ready to run 386EX, 25 or 33MHz Up to 32MB DRAM CompactFlash connector Optional PC Card interface for Wi-Fi, modem,

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0 Using the SDRAM on Altera s DE1 Board with Verilog Designs For Quartus II 13.0 1 Introduction This tutorial explains how the SDRAM chip on Altera s DE1 Development and Education board can be used with

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

Simulating Nios II Embedded Processor Designs

Simulating Nios II Embedded Processor Designs Simulating Nios II Embedded Processor Designs May 2004, ver.1.0 Application Note 351 Introduction The increasing pressure to deliver robust products to market in a timely manner has amplified the importance

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

AN 839: Design Block Reuse Tutorial

AN 839: Design Block Reuse Tutorial AN 839: Design Block Reuse Tutorial for Intel Arria 10 FPGA Development Board Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

ELE 758 * DIGITAL SYSTEMS ENGINEERING * MIDTERM TEST * Circle the memory type based on electrically re-chargeable elements

ELE 758 * DIGITAL SYSTEMS ENGINEERING * MIDTERM TEST * Circle the memory type based on electrically re-chargeable elements ELE 758 * DIGITAL SYSTEMS ENGINEERING * MIDTERM TEST * Student name: Date: Example 1 Section: Memory hierarchy (SRAM, DRAM) Question # 1.1 Circle the memory type based on electrically re-chargeable elements

More information

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle Implementing Video and Image Processing Designs Using FPGAs Click to add subtitle Agenda Key trends in video and image processing Video and Image Processing Suite Model-based design for video processing

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T002A: A Qsys based Nios II reference design using Intel s MSGDMA to benchmark memory copy operations on the HyperRAM device using S/Labs' HBMC

More information

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10 TKT-2431 SoC design Introduction to exercises Assistants: Exercises and the project work Juha Arvio juha.arvio@tut.fi, Otto Esko otto.esko@tut.fi In the project work, a simplified H.263 video encoder is

More information

Figure 6 1 shows a block diagram of the UART core. shift register. shift register

Figure 6 1 shows a block diagram of the UART core. shift register. shift register 6. UART Core NII51010-8.1.0 Core Overview Functional Description The UART core with Avalon interface implements a method to communicate serial character streams between an embedded system on an Altera

More information

XSB Board SDRAM Controller

XSB Board SDRAM Controller June 27, 2005 (Version 1.3) Application Note by D. Vanden Bout Summary This application note describes how to use the XSB Board SDRAM controller in both pipelined and non-pipelined modes. SDRAM Controller

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003 SOPC LAB1 I. Introduction The purpose of this lab is to familiarize you with all the items in the kit. This tutorial tells you how to develop FPGA system in Quartus II. You are ready to begin using the

More information