Design of a Low Power and Stable 11T SRAM cell with bit-interleaving capability

Size: px
Start display at page:

Download "Design of a Low Power and Stable 11T SRAM cell with bit-interleaving capability"

Transcription

1 Design of a Low Power and table 11T RAM cell with bit-interleaving capability hivendra Kumar harma, Bhavana P.hrivastava M.tech cholar, Assistant Professor ECE Department, MANIT Bhopal, INDIA. Abstract A low power and high stable single ended 11T RAM cell has been proposed with bit interleaving capability. A column aware scheme is used in the cell to achieve highly stable RAM cell which exhibit better performance than the previous designs. The proposed design has robust read operation and exhibits lower power consumption and better stability as compared to existing designs. This proposed 11T RAM has been compared with conventional 6T RAM cell and 9T RAM cell in term of Power consumption, Delay and Power Delay Product (PDP) at various supply voltages as 1.8V, 1.6V and 1.4V. For the stability analysis NM (tatic Noise Margin) also analyzed at the supply voltage 1.8V. The simulations of all circuits are done on Cadence Virtuoso at 180nm CMO technology and the simulation results are analyzed and verify to show that proposed design is better than the existing designs. The proposed 11T RAM shows the better performances in terms of power consumption and PDP at all the supply voltages. At 1.8V power saving by the proposed design is 73.88% and improvement in PDP is 71.53% compared to standard 6T RAM cell and significant improvement is observed at other supply voltages also. In term of stability the proposed design showing good result as compare to existing designs. Keywords RAM cell, Leakage Power, Low Power, tability, Bit-interleaving, PDP. I. INTRODUCTION Ever since the concept of miniaturization took off, Process voltage and Temperature variations have become the prominent issues because of device scaling. But in the DM (Deep ubmicron Tech) as the size of the CMO is scaling down; the leakage power is most common issue for RAM cells which are designed for a low power application. As a result the power consumption in RAM cell becomes main problem. Low power design of RAM without compromising with the speed performance becomes the main issue in the modern VLI designs. Furthermore due to scaling the circuits also faces design challenges for low power RAM designs. Because of low V th and ultra-thin gate oxide, the leakage power consumption is increased [1]. The stability of the cell during read, write mode is also affected [2]. The adoption of supply voltage scaling becomes most effective technique for energy saving since it reduces the power consumption of the circuit in significant amount [3]. But with the scaling down of devices and supply voltages, the variability of RAMs in process variation parameters and threshold voltage together with I on I off ratio increase severely and this degrades cell stability by reducing the tatic Noise Margin (NM) [9, 10]. The NM has linear dependency on the supply voltage, as the supply voltage is scaled down for power reduction the cell stability severely get affected. Hence to get ultra-low power consumption while keeping the cell stability constant becomes the main issue of RAM designs in this scenario. In this paper, a 11T RAM cell has been proposed for bit-interleaving application. ome other RAM cell with bit interleaving have been presented in [4-8] in past. The proposed design has less power consumption, high speed, improved read and write stability, read robustness at the cost of area overhead. The brief overview of this paper as follows, in section II consist of literature review discussions i.e. standard 6T RAM and existing 9T RAM cell has been discussed. ection III elaboration of the proposed work has discussed, section IV contains the simulation results and cell performance analysis discussion and section V consists of conclusion of the whole paper. II. LITERATURE REVIEW 2.1 Conventional 6T RAM Cell The Conventional 6T RAM cell has combination of six transistors in which four transistors (N3 P1 N4 P2) formed two inverters. These two inverters are back to back connected in cross coupled manner, apart from this two access NMO transistors N1 and N2 acting as pass transistors and two data storing nodes (Q and QB). These data storing nodes are accessed by the pass transistor N1 and N2 as shown in Fig.1. These back to back connected inverters forming the latch to store the bit. The access transistors are turns on by enabling Word Line (). When the Word Line () is low, access transistors are disabled and cell works in hold mode, at this mode read or write operations cannot be IN: Page 67

2 performed, at this state latch can hold bit. When the word line becomes high, access transistors N1 and N2 are enabled, and the read and write operations can be performed [14]. Data is writes at node Q through bit line and inverted data is stored at the node QB. BL BLB P3 Word line R Read BL Bit line CBL Column BL CBLB CBL bar N5 CBL BL CBLB L N1 Q N6 P1 N3 P2 N4 QB P1 P2 N2 N1 Q QB N2 R Fig.2. Existing 9T ARM cell N3 N4 Word line BL Bit line BLB Bit line bar 2.2 9T RAM cell Fig.1 Conventional 6T RAM cell To design 9T RAM cell three extra transistors N5, N6, P3 are connected. Transistor N6 is connected between data storing node Q and N1 for the data protection during read operation [11], this transistor N6 prevent the discharging of node Q because it turn off during read, write operation. Transistor (N5, P3) forms a special inverter for AND logic operation [8] to activate local word line (L). It also have other input lines i.e. bit line ( BL),world line ( ) and provide special Read word line ( R ) for read operation, bit line CBLB have a control on transistor N5 as shown in Fig. 2. This 9T RAM cell is designed for bit-interleaving application for soft error protection and this design also overcome the problem of write 1 failure which was occurring in 6T RAM cell. Also exhibit significant improvement in write ability, read robustness, reduces write and leakage power consumption, as well as proves to be better tolerance in process variation [12]. The power consumption, speed and stability of the circuit can be further improved by connecting extra circuitry which is done in the proposed 11T design. III. PROPOED DEIGN T RAM cell ingle ended 11T RAM cell for bit interleaving application has been proposed, the idea of bit interleaving originate from the differential 8T RAM cell [10]. Later the same idea is used in read disturb free 9T RAM cell [12]. The working of the proposed cell is a little bit similar to the 9T RAM cell with improved performance parameters i.e. less power consumption, high speed, less PDP and high stability at the cost of area. The proposed RAM cell consist of eleven transistors seven NMO from N1 - N7 and four PMO from P1-P4 shown in Fig. 3. Word line R Read BL Bit line CBL Column BL CBLB CBL bar R P3 N5 CBL BL CBLB L P4 N1 N2 Q N6 P1 N3 N7 P2 N4 QB Fig.3. 11T RAM cell In the proposed circuit two extra transistors P4 and N7 are connected to improve the cell performances. The transistor N7 is for reducing supply voltage and transistor P4 is work as switching transistor. The operation principle of the proposed 11T RAM cell is discussed below. IN: Page 68

3 Hold mode: In hold mode, set the word line () at pre-charge high voltage while R signal set at low voltage that leads to transistor N1 & N2 turns off to prevent the access of bit lines, CBLB is set high to turn on transistor N6 as a result data retention is afforded by the cross coupled inverter pair. Write Mode: In write operation is set at low voltage and CBL signal set to high voltage, hence as a result L signal is pre-charged to high value hence data is written through bit-line (BL) to storage nodes ( Q & QB ) through N1. Read mode: During read mode first of all set BL to high voltage, then the read operation start through the special word line R. CBL connect to high and the CBLB is connected to low voltage and remains at high voltage. By simulation result we have observed that proposed 11T RAM cell generates Q and QB output which has proper logic with proper output waveform. The output waveform for proposed 11T RAM cell is shown in Fig. 4. Word A1 Word A2 Word Ak A 11 A 12 A 1n A 21 A 22 A 2n A k1 A k2 A kn (a) A 11 A 21 A k1 A 12 A 22 A k2 A 1n A 2n A kn 1st bit 2nd bit nth bit (b) Fig.5 RAM word organization (i) hared word line (ii) Bit-interleaving Bit-interleaving is commonly used in RAM design for soft error protection as well as area efficient utilization of wiring. Fig. 6 shows 2x2 bitinterleaving architecture of proposed 11T RAM design. The detail explanations 2x2 array of the bitinterleaving architecture is given in [12]. <0>= elected column Half-selected Column R<0>=0 <1>= Half-selected Cell Non-elected cell CBL<0 BL<0> CBLB<0> CBL< 1 BL<1> CBLB<1> R<1>= Fig.4. Output Waveform of design Fig.6 The 2x2 bit-interleaving architecture of 11T RAM cell 3.2 Concept of Bit-interleaving architecture RAM architecture has mainly two ways to arrange the words. hared word line shown in Fig. 5(i) and bit interleaving shown in Fig. 5(ii). In share word line, all the bits of the same words are located next to each other shown in Fig.5. This design is most common because of its simplicity and compactness but the bits are adjacent to each other that cause the probability of multi-bit soft errors is very high. To solve the problem of soft errors the 2 nd architecture i.e. bit interleaving architecture is used. A detailed explanation of bit-interleaving concept is explained in [8]. IV. CELL PERFORMANCE ANALYI In this section, cell properties such as NM, peed, Power consumption, PDP etc. are compared with the existing design, namely the standard 6T cell and 9T cell. All the existing and proposed circuit is simulated using the tool Cadence Virtuoso at 180nm technology. Power consumption and delay is calculated at different supply voltages 1.8V, 1.6V and 1.4V respectively. We observed that as the supply voltage scale down the power consumption of the RAM cell also reduces but it also affected cell stability. The size of the transistors is taken to be the same for all transistor of the cell for comparison of different type of RAM designs. IN: Page 69

4 4.1 peed and power analysis The proposed design shows 73.88% reduction in power, 71.53% reduction in PDP at 1.8V with respect to standard 6T RAM and 9.89% reduction in power, 29.11% reduction in PDP at 1.8V with respect to existing 9T RAM as shown in table-i. The significant improvement at other voltages can be analysed from the Table-II and Table-III. The proposed circuit has been mainly designed with bitinterleaving capability with better performances compared 9T RAM in term of power, speed, PDP and stability. Table I: - Power consumption and Delay of existing and proposed RAM cell at 1.8V RAM CELL Power(µW) Delay(p) PDP (fw) 6T RAM T RAM T RAM Table II: - Power consumption and Delay of existing and proposed RAM cell at 1.6V RAM CELL Power(µW) Delay(p) PDP (fw) 6T RAM T RAM T RAM Table III: - Power consumption and Delay of existing and proposed RAM cell at 1.4V RAM CELL Power(µW) Delay(p) PDP (fw) 6T RAM T RAM T RAM Fig.7. Variation of power and PDP with supply voltages 4.2 tability Analysis The stability of RAM cell is defined by static noise margin (NM); the higher the NM the better the stability. NM can be defined the maximum DC noise voltage that can be tolerate by the cell without changing the output bit or stored bit. o the cell stability is depends on supply voltage, as supply voltage is reduce the cell become less stable. The most common static approach for measuring the NM is by using butterfly curves, and this curve can be obtain by dc analysis. The NM of the RAM cell is defined as the size of the largest square that can fit into the butterfly curve [10] Hold stability The hold stability of the RAM is defined by the HNM (Hold NM). In 9T and proposed 11T cell the butterfly curve appear asymmetrical due to the asymmetrical stored structure. Hence the HNM equals to the smaller one of the two maximum squares. Butterfly curves for HNM calculation is shown in Fig. 8. NM = min (NM 1, NM 2) (a) (b) (c) Fig.8. tatistical butterfly curves of Hold mode (a) 6T (b) 9T (c) 11T IN: Page 70

5 4.2.2 Write stability Write stability of RAM cell can be analyzed by Write static Noise Margin (WNM). The definition of the conventional WNM based on the butterfly curve as shown in Fig.9. More WNM shows better stability. (a) (b) (c) Fig.9. tatistical butterfly curves of write mode (a) 6T (b) 9T (c) 11T Read stability Read stability of RAM cell also can be analyzed by Read static Noise Margin (RNM). The definition of the conventional RNM based on the butterfly curve as shown in Fig.10. More RNM shows better the read stability. V. CONCLUION In this paper, a novel 11T RAM cell with bitinterleaving capability has been proposed. The proposed design shows reduction in power consumption, improvement in the stability and PDP at the cost of area overhead. Analysis of results show that, the proposed 11T RAM cell is giving better performance in terms of stability, power consumption and PDP with respect to standard 6T RAM cell and 9T RAM with bit-interleaving capability. The delay of the circuit is increased slightly at the voltages above 1.6V in comparison with that of standard 6T RAM cell but PDP of the circuit reduces in significant amount at all the voltages. While significant reduction in both delay and power consumption is observed with respect to 9T RAM. And proposed design is more stable and robust compared with the existing designs. REFERENCE [1] W. R. E. Aly and M. A. Bayoumi, "Low-power cache design using 7T RAM cell ", IEEE Trans. Circ. ys., vol. 54, no. 4,pp , April [2]. A. Tawfik and V. Kursun, "Low power and roubst 7T dual- Vt RAM circuit", in Proc. IEEE Int. ymp. Circ. ys., ICA 2008, eatle, W A, UA, 2008, pp [3] B.H. Calhoun, J.F. Ryan,. Khanna, et al., Flexible circuits and architectures for ultralow power, Proc. IEEE, 2010, vol. 98, pp (a) (b) (c) Fig.10. tatistical butterfly curves of read mode (a) 6T (b) 9T (c) 11T The table IV shown below has the comparative analysis of the different RAM designs. The table clearly shows that the proposed design is giving better performances in term of stability as compare with existing 6T and 9T RAM design in all three modes i.e. hold mode, read mode and write mode. Table IV: - NM of existing and proposed RAM cell at 1.8V RAM CELL HNM WNM RNM 6T RAM 528 mv 460 mv 83 mv 9T RAM 516 mv 533 mv 71 mv 11T RAM 558 mv 583 mv 107 mv [4] Ik Joon Chang, Jae-Joon Kim, et al., A 32 kb 10T subthreshold RAM array with bit-interleaving and differential read scheme in 90 nm CMO, IEEE J. olid-tate Circuits vol. 44, no. 2, pp , Feb [5] Ming-Hsien Tu, Jihi-Yu Lin, Ming-Chien Tsan, et al., A single-ended disturbfree 9T subthreshold RAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing, IEEE J. olid-tate Circuits vol. 47, no. 6, pp. 1 14, June [6] Meng-Fan Chang, hi-wei Chang, Po-Wei Chou, et al., A 130 mv RAM with expanded write and read margins for subthreshold applications, IEEE J. olid-tate Circuits vol. 46, no.2, pp , Feb [7] Ming-Hung Chang, Yi-Te Chiu, Wei Hwang, et al., Design and Iso-area vmin analysis of 9T subthreshold RAM with bitinterleaving scheme in 65-nm CMO, IEEE Trans. Circuits yst. II: vol. 59, no.7, pp , July [8] Anh-Tuan Do, Jeremy Yung hern Low, Joshua Yung Lih Low, et al., An 8T differential RAM with improved noise margin for bit-interleaving in 65 nm CMO, IEEE Trans. Circuits yst. I Regul.vol. 58, no. 6, pp , June [9]. Hanson, B. Zhai, K. Bernstein, et al., Ultralow-voltage, minimum-energy CMO, IBM J. Res. Develop,vol.50, pp , [10] E. eevinck et al., tatic-noise margin analysis of MO RAM cells, IEEE J. olid-tate Circuits, vol. C-22, no. 2, pp , May IN: Page 71

6 [11] Koichi Takeda, Yasuhiko Hagihara, Yoshiharu Aimoto, et al., A read-static noise-margin-free RAM cell for low-vdd and high-speed applications, IEEE J.olid-tate Circuits, vol. 41, no.1, pp , Jan [12] Liang Wen, Zhikui Duan, Yi Li, Xiaoyang Zeng, Analysis of a read disturb-free 9T RAM cell with bitinterleaving capability, Microelectronics Journal, vol. 45, pp , mar [13] K. khare, R. Kar, D. Mandal,.P. Ghosal, Analysis of leakage current and leakage power reduction during write operation in CMO RAM cell IEEE international conference on communication and signal processing, April 2014, pp [14] J. ingh, 1. Mathew, and K. D. Pradhan, "A subthreshold single ended I/O RAM cell design for nanometer CMO technologies", in Proc. IEEE Int. OC Conf. OCC, 2008, pp [15] P. Hazucha, T. Karnik, and J. Maiz, et al., Neutron soft error rate measurement in 90-nm CMO process and scaling trends in RAM from 0.25-um to 90-nm generation, in: Proc IEDM Technical Digest, 2003, pp IN: Page 72

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY K. Dhanumjaya 1, M. Sudha 2, Dr.MN.Giri Prasad 3, Dr.K.Padmaraju 4 1 Research Scholar, Jawaharlal Nehru Technological

More information

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

A Single Ended SRAM cell with reduced Average Power and Delay

A Single Ended SRAM cell with reduced Average Power and Delay A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS Read stability and Write ability analysis of different SRAM cell structures Ajay Gadhe*, Ujwal Shirode** *(Department of Electronics, North Maharashtra University, Jalgaon-425001) ** (Department of Electronics,

More information

Low Power and Improved Read Stability Cache Design in 45nm Technology

Low Power and Improved Read Stability Cache Design in 45nm Technology International Journal of Engineering Research and Development eissn : 2278-067X, pissn : 2278-800X, www.ijerd.com Volume 2, Issue 2 (July 2012), PP. 01-07 Low Power and Improved Read Stability Cache Design

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM

READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM Priyanka Lee Achankunju 1,Sreekala K S 2 1 Department of Electronics and Communication, Saint GITS College of Engineering, Kottayam, Kerala,

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES M. PREMKUMAR 1, CH. JAYA PRAKASH 2 1 M.Tech VLSI Design, 2 M. Tech, Assistant Professor, Sir C.R.REDDY College of Engineering,

More information

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * School of Engineering and Technology Sharda University Greater

More information

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell

More information

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE Dhanya M. Ravi 1 1Assistant Professor, Dept. Of ECE, Indo American Institutions Technical Campus, Sankaram, Anakapalle, Visakhapatnam, Mail id: dhanya@iaitc.in

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) ISSN (Print): 2279-0047 ISSN (Online): 2279-0055 International

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

An Efficient Design of 8T SRAM Cell Using Transmission Gates

An Efficient Design of 8T SRAM Cell Using Transmission Gates An Efficient Design of 8T SRAM Cell Using Transmission Gates Sameya Firdous M.Tech (VLSI Design), Department of ECE, Siddhartha Institute of Engineering and Technology. ABSTRACT: Static Random Access Memory

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES Sapna Singh 1, Neha Arora 2, Meenakshi Suthar 3 and Neha Gupta 4 Faculty of Engineering Technology, Mody Institute of

More information

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Shantha Devi.P 1, Vennila.P 2, Ramya.M 3, Krishnakumar.S 4 1PG Scholar,Department of ECE,Theni Kammavar Sangam College of Technology,Tamilnadu,India.

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS Purdue University Purdue e-pubs Department of Electrical and Computer Engineering Faculty Publications Department of Electrical and Computer Engineering January 2009 A 32 kb 10T sub-threshold sram array

More information

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC Journal of Engineering Science and Technology Vol. 9, No. 6 (2014) 670-677 School of Engineering, Taylor s University DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC A. KISHORE KUMAR 1, *, D. SOMASUNDARESWARI

More information

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, JANUARY 2017, VOLUME: 02, ISSUE: 04 DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM Priyanka Lee Achankunju, Sreekala K.S

More information

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability One Bit-Line Multi-Threshold SRAM Cell With High Read Stability Prangya Parimita Nanda 1, Kanan Bala Ray 2, Sushree Sangita Das 3 PG Student, School of Electronics Engineering, KIIT University, Bhubaneswar,

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Sachin 1, Charanjeet Singh 2 1 M-tech Department of ECE, DCRUST, Murthal, Haryana,INDIA, 2 Assistant Professor, Department of

More information

Design of 6-T SRAM Cell for enhanced read/write margin

Design of 6-T SRAM Cell for enhanced read/write margin International Journal of Advances in Electrical and Electronics Engineering 317 Available online at www.ijaeee.com & www.sestindia.org ISSN: 2319-1112 Design of 6-T SRAM Cell for enhanced read/write margin

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

Australian Journal of Basic and Applied Sciences. Design and Analysis of SRAM Cell for Ultra Low Voltage Deviation

Australian Journal of Basic and Applied Sciences. Design and Analysis of SRAM Cell for Ultra Low Voltage Deviation AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Design and Analysis of SRAM Cell for Ultra Low Voltage Deviation 1 Rukkumani Venkatasalam

More information

A Low Power SRAM Cell with High Read Stability

A Low Power SRAM Cell with High Read Stability 16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

Design of Read and Write Operations for 6t Sram Cell

Design of Read and Write Operations for 6t Sram Cell IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 1, Ver. I (Jan.-Feb. 2018), PP 43-46 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Read and Write Operations

More information

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique International journal of scientific and technical research in engineering (IJSTRE) www.ijstre.com Volume 1 Issue 5 ǁ August 2016. Implementation of Efficient Ternary Content Addressable Memory by Using

More information

Low Power FinFET based SRAM Cell Design

Low Power FinFET based SRAM Cell Design International Journal on Recent and Innovation Trends in Computing and Communication IN: 2321-8169 Low Power FinFT based RAM Cell esign Ayon Manna chool of lectronics ngineering VIT University Chennai,

More information

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY Gangadhar Akurathi 1, Suneel kumar Guntuku 2 and K.Babulu 3 1 Department of ECE, JNTUK-UCEV, Vizianagaram, Andhra Pradesh, India

More information

Low Power Circuits using Modified Gate Diffusion Input (GDI)

Low Power Circuits using Modified Gate Diffusion Input (GDI) IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 Low Power Circuits using Modified Gate Diffusion Input

More information

A REVIEW ON LOW POWER SRAM

A REVIEW ON LOW POWER SRAM A REVIEW ON LOW POWER SRAM Kanika 1, Pawan Kumar Dahiya 2 1,2 Department of Electronics and Communication, Deenbandhu Chhotu Ram University of Science and Technology, Murthal-131039 Abstract- The main

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

A Comparative Study of Power Efficient SRAM Designs

A Comparative Study of Power Efficient SRAM Designs A Comparative tudy of Power Efficient RAM Designs Jeyran Hezavei, N. Vijaykrishnan, M. J. Irwin Pond Laboratory, Department of Computer cience & Engineering, Pennsylvania tate University {hezavei, vijay,

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION K.VENUGOPAL P.SIREESH BABU Abstract - A SRAM cell must meet requirements for operation in submicron. As the density of SRAM increases,

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY FOR LOW VOLTAGE APPLICATIONS

DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY FOR LOW VOLTAGE APPLICATIONS Journal of Engineering Science and Technology Vol. 11, No. 12 (2016) 1722-1735 School of Engineering, Taylor s University DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY

More information

Improving V min of Sram by Schmitt-Trigger/Read-Write Techniques

Improving V min of Sram by Schmitt-Trigger/Read-Write Techniques IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 2, Issue 1 (Mar. Apr. 2013), PP 15-20 e-issn: 2319 4200, p-issn No. : 2319 4197 Improving V min of Sram by Schmitt-Trigger/Read-Write Techniques

More information

Content Addressable Memory performance Analysis using NAND Structure FinFET

Content Addressable Memory performance Analysis using NAND Structure FinFET Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 1077-1084 Research India Publications http://www.ripublication.com Content Addressable Memory performance

More information

SRAM Memory Layout Design in 180nm Technology

SRAM Memory Layout Design in 180nm Technology SRAM Memory Layout Design in 180nm Technology Praveen K N M.Tech in VLSI Design & Embedded Systems JSS Academy of Technical Education, Bengaluru, India B. G. Shivaleelavathi Professor, H.O. D ECE Department,

More information

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES D.Rani, R.Mallikarjuna Reddy ABSTRACT This logic allows operation in two modes: 1) static and2) dynamic modes. DML gates, which can be switched between

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

LOW- POWER ANALYSIS OF VARIOUS 1-BIT SRAM CELLS USING SPICE

LOW- POWER ANALYSIS OF VARIOUS 1-BIT SRAM CELLS USING SPICE LOW- POWER ANALYSIS OF VARIOUS 1-BIT SRAM CELLS USING SPICE 1 Sushil Kumar Gupta Department of Electronics and Communication Engineering Madan Mohan Malaviya Engineering College Gorakhpur, India Abstract

More information

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Ting-Sheng Chen, Ding-Yuan Lee, Tsung-Te Liu, and An-Yeu (Andy) Wu Graduate Institute of Electronics Engineering, National Taiwan

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

FeRAM Circuit Technology for System on a Chip

FeRAM Circuit Technology for System on a Chip FeRAM Circuit Technology for System on a Chip K. Asari 1,2,4, Y. Mitsuyama 2, T. Onoye 2, I. Shirakawa 2, H. Hirano 1, T. Honda 1, T. Otsuki 1, T. Baba 3, T. Meng 4 1 Matsushita Electronics Corp., Osaka,

More information

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Jaspreet Kaur Electronics and Communication Engg Section Yadavindra College of Engineering, Talwandi Sabo, India Candy Goyal Assistant Professor,

More information

Yield-driven Near-threshold SRAM Design

Yield-driven Near-threshold SRAM Design Yield-driven Near-threshold SRAM Design Gregory K. Chen, David Blaauw, Trevor Mudge, Dennis Sylvester Department of EECS University of Michigan Ann Arbor, MI 48109 grgkchen@umich.edu, blaauw@umich.edu,

More information

Implementation of Asynchronous Topology using SAPTL

Implementation of Asynchronous Topology using SAPTL Implementation of Asynchronous Topology using SAPTL NARESH NAGULA *, S. V. DEVIKA **, SK. KHAMURUDDEEN *** *(senior software Engineer & Technical Lead, Xilinx India) ** (Associate Professor, Department

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Optimize Parity Encoding for Power Reduction in Content Addressable Memory Nisha Sharma, Manmeet Kaur 1 Research Scholar, Chandigarh

More information

16 Bit Low Power High Speed RCA Using Various Adder Configurations

16 Bit Low Power High Speed RCA Using Various Adder Configurations 16 Bit Low Power High Speed RCA Using Various Adder Configurations Jasbir Kaur #1, Dr.Neelam RupPrakash *2 Electronics & Comminucation Enfineering, P.E.C University of Technology 1 jasbirkaur70@yahoo.co.in

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN 5 Comparative Study and Mathematical Modeling of Power Dissipation in 6Transistor SRAM and 7-Transistor SRAM Seema Verma1, Pooja Srivastava2, Smriti Nanda3, Jayati Vyas4, Bharti Sharma5 1 Associate Professor,

More information

An Effective Reconstruction of Replica Memory Design Optimization for Embedded System

An Effective Reconstruction of Replica Memory Design Optimization for Embedded System International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 4 (2017) pp. 575-586 Research India Publications http://www.ripublication.com An Effective Reconstruction of Replica

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power Atluri.Jhansi rani*, K.Harikishore**, Fazal Noor Basha**,V.G.Santhi Swaroop*, L. VeeraRaju* * *Assistant professor, ECE Department,

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :

SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number : SRAM MEMORY ARCHITECTURE Student Name: Purnima Singh Roll Number : 2012151 BTP report submitted in partial fulfilment of the requirement for the Degree of B.Tech in Electronics and Communication Engineering

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

Design and verification of low power SRAM system: Backend approach

Design and verification of low power SRAM system: Backend approach Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum -590008,

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Design of Low Power SRAM in 45 nm CMOS Technology

Design of Low Power SRAM in 45 nm CMOS Technology Design of Low Power SRAM in 45 nm CMOS Technology K.Dhanumjaya Dr.MN.Giri Prasad Dr.K.Padmaraju Dr.M.Raja Reddy Research Scholar, Professor, JNTUCE, Professor, Asst vise-president, JNTU Anantapur, Anantapur,

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman. Digital Integrated Circuits (83-313) Lecture 7: SRAM Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 16 May 2017 Disclaimer: This course was prepared, in its entirety, by

More information

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. I (May. -Jun. 2016), PP 67-72 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Modeling and Comparative Analysis

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

Ternary Content Addressable Memory Types And Matchline Schemes

Ternary Content Addressable Memory Types And Matchline Schemes RESEARCH ARTICLE OPEN ACCESS Ternary Content Addressable Memory Types And Matchline Schemes Sulthana A 1, Meenakshi V 2 1 Student, ME - VLSI DESIGN, Sona College of Technology, Tamilnadu, India 2 Assistant

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

Low-Power SRAM and ROM Memories

Low-Power SRAM and ROM Memories Low-Power SRAM and ROM Memories Jean-Marc Masgonty 1, Stefan Cserveny 1, Christian Piguet 1,2 1 CSEM, Neuchâtel, Switzerland 2 LAP-EPFL Lausanne, Switzerland Abstract. Memories are a main concern in low-power

More information