Design and verification of low power SRAM system: Backend approach

Size: px
Start display at page:

Download "Design and verification of low power SRAM system: Backend approach"

Transcription

1 Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum , Karnataka, INDIA ABSTRACT- Leakage power loss is a major concern in deep-submicron technologies. Highperformance processors and servers consume enormous amount of operating power. For portable devices that have burst-mode type integrated circuits, it is acceptable to have leakage during active mode. However, during the idle state it is extremely wasteful to have leakage, as power is unnecessarily consumed with no useful work being done. Efficient leakage control mechanisms are crucial for saving power. In this work the performance of 6T standard SRAM is compared with low power asymmetric SRAM and SRAM with AVLG and AVLS control circuits. The static power, total power is measured at different temperatures. The access time is also calculated for write as well as read operations. An entire memory system for 8 bit X 8 bit along with all peripherals is designed and simulated. Layout is also drawn which has passed DRC, LVS and RCX. Key words: SRAM, leakage power, AVLG SRAM, AVLS SRAM, static power,. INTRODUCTION Fast low power SRAMs have become a critical component of many VLSI chips. This is especially true for microprocessor, where the on-chip cache sizes are growing with each generation to bridge the increasing divergence in the speeds of the processor and the main memory [5-6]. Simultaneously, power has become an important consideration both due to the increased integration and operating speeds, as well as due to the explosive growth of battery operated appliances. In this work the performance of the SRAM memory system is improved by reducing leakage current and power. We compare the results of conventional 6T SRAM cell, with an asymmetric SRAM cell. An adaptive voltage level (AVL) circuit is added to 6t SRAM cell, to reduce the sub-threshold leakage.avl circuit controls the effective voltage across the SRAM cell in inactive mode. An AVL circuit can be used either at the upper end of the SRAM cell to reduce the supply voltage (AVLS) or at the lower end of the SRAM cell to raise the potential of the ground node of the cell (AVLG) As compared to previous paper mentioned in [2] here we are incorporating the full SRAM read/write circuitry, pre charge circuit and sense amplifier circuit along with the layout of all the circuit designs and measurement of the static and total power of all the circuits. Simulations are performed with cadence 180nm CMOS technology process file using spectre tool. Power of all the cells are measured and compared. 1. Basic 6T SRAM cell: It consists of pair of cross coupled inverters that use positive feedback to store value. Transistors M5 and M6 are 2 pass transistors that allow access to the storage nodes for reading and writing. To write a value into a SRAM cell the new value and its complement is driven on the bit lines and then the word line is raised. The new will overwrite the old value, since the bit lines are actively driven by write circuitry. To read a value from an SRAM the bit lines are pre charged high and the word line is raised turning on the pass transistors. Because one of the internal storage node is low, one of the bit line starts discharging. A sense amplifier which is connected to the bit line senses which of the bit line is discharging and reads the stored value. The 6T SRAM cell is designed such that the pull up p-transistors are weakest, access transistors are of medium strength and pull-down nmos transistors are strongest to satisfy write and read constraints as mentioned in[3] simulated and verified using cadence spectre tool in 180nm technology. The 6T SRAM cell is as shown in figure1. The simulation signals for writing 1 and 0 are as shown in figure 2. The layout is also drawn for physical verification and found to give no DRC errors and correct functionality was observed for post layout simulation. IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 1

2 Now we consider two cases: Case 1 when 0 is stored in the cell: Figure1. 6T SRAM Cell Node q=0, bit line bar(blb)=1, and M6 passes week 1, the drain voltage of the transistor M2 is less than the supply voltage(m2=vdd-vth, where Vth is the threshold voltage of the transistor M6). Due to this gate-source and gate-drain voltage of the transistor M1 also reduces, even the gate leakage current of the transistor M2 and M6 also reduces due to the reduction in their gate-source and gate-drain voltage. The sub threshold leakage through transistor M2 also reduces due to reduction in its Vds. Case 2 when 1 is stored in the cell: Figure 2. SRAM Simulated Waveform. Node q=1 voltage at node QBAR and DQBAR is 1 and there is no reduction in leakage current of cell, but an extra gate leakage current appears through M7, since its gate voltage is 1. However as most of the bits stored in SRAM are 0 s, the number of 0 s are greater than number of 1 s; hence there is significant reduction in leakage current. The node DQBAR in the circuit acts as a dummy storage node and static noise margin of the cell does not get affected. 2.1 Read operation in asymmetric SRAM cell: when 0 is stored in the cell, The conductance of the transistor M1 reduces since drain voltage of the transistor M1 also reduces from VDD to VDD-Vth [7]. During read operation when 0 is stored in the cell the bit line(bl) is discharged, and the discharge time which is small fraction of the total read access time increases by little amount which is tolerable. Figure 3. 6t SRAM cell layout 2. Asymmetric SRAM cell: The modified version of 6T SRAM cell is Asymmetric SRAM cell. The asymmetric SRAM cell is developed to reduce the gate leakage current and sub threshold leakage as mentioned in [7]. The circuit diagram and layout are as shown in fig 4 and 5.The gate leakage current in a transistor reduces as its gate/drain or gate/source voltage is reduced. The sub threshold leakage can be reduced by reducing its drain-source voltage. Asymmetric SRAM cell makes use of an extra nmos transistor M7 that reduces the gate/source or gate/drain voltage of the transistor that dissipates gate leakage when a 0 is stored in the cell. 2.2 Write Operation in asymmetric SRAM cell: Node QBAR controls the operation of writing 1 into the asymmetric SRAM cell, rather than DQBAR, because voltage at node DQBAR will start reducing only when the voltage at node QBAR, reaches the value equal to that of DQBAR, and then both will be discharged identically. This is because, when the voltage at node QBAR is VDD, the nmos transistor M7 will pass it as VDD-Vth as its gate voltage is VDD.while discharging, the voltage at node QBAR will remain at VDD-Vth as long as the voltage at node QBAR is in the range (VDD-Vth, VDD) and after that both values will be the same and move towards 0 identically. IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 2

3 Further, a new sub threshold leakage current appears in M6 due to the reduction in drain voltage. This additional sub threshold leakage current through access transistor can be reduced by making the bit lines floating. Hence, this approach is more successful in reducing gate leakage currents than AVLG but still leaves two gate leakage current components unaltered. Figure4. Asymmetric SRAM Figure6. AVLS SRAM cell Figure5. Asymmetric SRAM layout 3. An Adaptive Voltage Level (AVL) Control Circuit: An AVL circuit can be used either at the upper end of the SRAM cell to reduce the supply voltage(avls) or at the lower end of the SRAM cell to raise the potential of the ground node of the cell(avlg). These two techniques are described as below in the later sections. 3.1 An Adaptive Voltage Level Control Circuit near Supply Voltage (AVLS): An AVLS circuit consists of full 6 transistor SRAM cell with an additional voltage level control circuit near supply voltage as shown in figure 6. The layout of AVLS is as shown in figure 7. Here a full supply voltage of VDD is applied to SRAM in active mode while a reduced supply voltage of VD is applied in inactive mode. Since transistor M4 is in ON state, the drain voltages of transistors M2 and M1 are also at VD [3]. The gate leakage current of transistors M1 and M2 get reduced due to the decrease in their gatesource and gate-drain voltages respectively. A decrease in source voltage of transistor M6 results in a decrease in gate leakage through it. The gate leakage through transistor M5 remains unchanged. The sub threshold leakage currents are reduced in transistors M2 and M3 but remain unaltered in M3. Figure7. AVLS SRAM layout 3.2 An Adaptive Voltage Level Control Circuit Near Ground (AVLG): An AVLG circuit consists of full 6t SRAM cell with an additional adaptive voltage level control circuit near ground as shown in figure 8. The layout of AVLG is as shown in figure 9. An AVLG circuit provides 0 volt at the ground node during the active mode to SRAM cell and a raised ground level (virtual ground) during the inactive mode. An increase in virtual ground voltage reduces the gate-source and gate-drain voltage of transistor M1 and also the gatedrain voltage of transistor M2, which results in a sharp reduction in gate leakage currents of these two transistors. There is no improvement in gate leakage IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 3

4 currents of transistors M5 and M6. But an additional gate leakage appears in transistor M5 due to decrease in drain voltage of M1. Incorporation of AVL results in another new gate leakage current through nmos transistor NL1 in the AVL switch. AVLG approach successfully reduces sub threshold leakage currents through M3, M2 and M5 as well [3]. Thus AVLG approach is completely successful in reducing all sub threshold leakage currents but it is only partially successful in reducing gate leakage currents. two output signals are OUT corresponding to the data signal and OUTBAR is the inverse of OUT [3]. The layout of one bit SRAM read/write column circuit is shown in fig 11, which has passed the DRC, LVS and RCX. Figure 12 shows the simulated waveform of one bit SRAM read/write column circuit. Similarly one bit AVLS SRAM read/write column circuit is designed simulated and verified. Figure 13 shows the schematic of one bit AVLS SRAM read/write column circuit and the layout of one bit AVLS SRAM read/write column circuit are shown in fig 14, which has passed the DRC, LVS and RCX. Figure8. AVLG SRAM cell Figure10. One bit SRAM read/write column circuit... Figure9. AVLG SRAM layout 4. 1 BIT SRAM AND AVLS SRAM READ/WRITE COUMN: Combining the circuits namely, write driver,pre charge, SRAM cell, column mux and sense amplifiers, one write and read cell is obtained. The complete schematic of one write and read cell is shown in figure 10. The input signals are write _enable (WE) that allows writing of data to the cell, sense amplifier enable (SAE) that allows reading of data from the cell, word enable that decides to/from which address data will be written or read from and the signal data (VIN) is the one bit data either high or low that is to be stored to or read from the cell. The Figure11. Layout of one bit SRAM read/write column circuit.. IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 4

5 Figure13. one bit SRAM read/write column circuit. Figure12 One bit SRAM read/ write timing diagram. Figure14. Layout of one bit SRAM read/write column circuit BIT 8X8 SRAM SYSTEM: 8-bit X 8-bit SRAM system is R/W memory circuit that permits the writing of data bits to be stored in a memory array, as well as reading of data bits stored in a memory array. The SRAM system is developed using the cadence IC design environment. The SRAM system design consists of SRAM cells, pre charge, sense amplifier, column multiplexer, and row IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 5

6 decoder. The most important part is the cell as all the other circuitry is connected to and around the cell. The popular, full CMOS 6T cell configuration is used to design the SRAM memory array [1]. The full SRAM system is as shown in figure 15. Some of the advantages of using full CMOS SRAM configuration are low static power, high switching speeds and suitability for high density SRAM arrays. In order to design a 64 bit SRAM, 64 full CMOS 6T SRAM cells are used. Each SRAM cell has a capability of storing 1 bit. Table2: power of Asymmetric SRAM cell Temp ( C) Ptotal Pstatic nW pW nW 8.441pW nW 17.97pW nW 62.17pW nW pW nW pW nW pW Table3: power of AVLS SRAM cell Temp ( C) Ptotal Pstatic nW pW nW pW nW pW nW 62.09pW nW pW nW pW nW pW Figure15. 8x8 SRAM system read/write circuit 6. Simulation Results: Simulations are performed using cadence design environment in 180nm CMOS technology using spectre tool with a supply voltage of VDD=1.8V. The static power, dynamic power and the total power are measured for all the different types of SRAM cells at different temperatures are as shown in below table 1, 2, 3, and 4. The access time calculations of all the cells are measured at a temperature of 27 c are as shown in below table 5. The layouts of different types of SRAM cells are designed and measured using cadence assura tool in 180nm technology are as shown in below table 5. Table1: power of SRAM cell Table4: power of AVLG SRAM cell Temp ( C) Ptotal Pstatic nW 6.704pW nW 8.441pW nW 17.97pW nW 62.18pW nW pW nW pW nW pW Table 5: Area and Access time calculation of different types of SRAM cells. Temp Ptotal Pstatic ( C) nW pW nW pW nW pW nW 62.18pW nW pW nW pW nW pW NAME AREA(λ) ACCESS TIME SRAM 8.755X ps Asymmetric X ps SRAM AVLG SRAM X ps AVLS SRAM X ps IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 6

7 7. Conclusion: An AVLS SRAM cell proposed offers reduced gate and sub threshold leakage currents and power in caches. Simulation results show that there is a significant reduction in the total leakage power that was achieved at 27 c with marginal degradation in the performance compared to the conventional 6T SRAM cell. Two schemes: a) raising the ground level and b) decreasing the supply voltage to the SRAM cell during inactive mode to suppress its leakage power were also examined in detail. It was observed that the scheme using supply voltage reduction is more efficient than the one raising the ground potential. The access time is reduced significantly in AVLG SRAM compared to other SRAM cells. The SRAM system is developed using cadence IC design environment. The design is based on the 180nm technology process. 8. References: 1. CMOS VLSI Design- a Circuits and systems perspective. Third edition-neil H.E.WESTE, Macquarie university and the university of Adelaide, David HARRIS, Harvey Mudd College, Ayan Banerjee, Bengal Engineering and Science University. 2. Leakage power estimation in SRAM S by Mahesh Mamidipaka, Kamal Khouri, Nikhil Dutt, Magdy Abadir. CECS Technical Report#03-32.Centre for Embedded Computer Systems, University of California, Irvine, CA 92697, USA 3. M.D.Powell, S.H.Yang, B.Falsafi etal Gated- VDD: A circuit technique to reduce leakage in cache memories. In proceedings of International Symposium on Low Power Electronics and Design, July Tutorial cadence design environment by Antonio J.Lopez Martin. 5. S.Borkar.Design challenges of technology scaling.ieee Micro, 19(4):23-29, august T.Enomoto.Y.Oka and H.Shikano. self controllable voltage level( SVL) circuits, 38(7): ,july Navid Azizi, Farid N.Najm.Andreas Moshovos.Low leakage asymmetric cell SRAM.IEEE transactions on VLSI systems, 11(4): ,August2003. IJCSIET-ISSUE2-VOLUME2-SERIES2 Page 7

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Monika Solanki* Department of Electronics & Communication Engineering, MBM Engineering College, Jodhpur, Rajasthan Review Article

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

SRAM Memory Layout Design in 180nm Technology

SRAM Memory Layout Design in 180nm Technology SRAM Memory Layout Design in 180nm Technology Praveen K N M.Tech in VLSI Design & Embedded Systems JSS Academy of Technical Education, Bengaluru, India B. G. Shivaleelavathi Professor, H.O. D ECE Department,

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell

More information

Design of Read and Write Operations for 6t Sram Cell

Design of Read and Write Operations for 6t Sram Cell IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 1, Ver. I (Jan.-Feb. 2018), PP 43-46 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Read and Write Operations

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

A REVIEW ON LOW POWER SRAM

A REVIEW ON LOW POWER SRAM A REVIEW ON LOW POWER SRAM Kanika 1, Pawan Kumar Dahiya 2 1,2 Department of Electronics and Communication, Deenbandhu Chhotu Ram University of Science and Technology, Murthal-131039 Abstract- The main

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power Atluri.Jhansi rani*, K.Harikishore**, Fazal Noor Basha**,V.G.Santhi Swaroop*, L. VeeraRaju* * *Assistant professor, ECE Department,

More information

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY K. Dhanumjaya 1, M. Sudha 2, Dr.MN.Giri Prasad 3, Dr.K.Padmaraju 4 1 Research Scholar, Jawaharlal Nehru Technological

More information

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,

More information

Low Power and Improved Read Stability Cache Design in 45nm Technology

Low Power and Improved Read Stability Cache Design in 45nm Technology International Journal of Engineering Research and Development eissn : 2278-067X, pissn : 2278-800X, www.ijerd.com Volume 2, Issue 2 (July 2012), PP. 01-07 Low Power and Improved Read Stability Cache Design

More information

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Sachin 1, Charanjeet Singh 2 1 M-tech Department of ECE, DCRUST, Murthal, Haryana,INDIA, 2 Assistant Professor, Department of

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

Design of Low Power SRAM in 45 nm CMOS Technology

Design of Low Power SRAM in 45 nm CMOS Technology Design of Low Power SRAM in 45 nm CMOS Technology K.Dhanumjaya Dr.MN.Giri Prasad Dr.K.Padmaraju Dr.M.Raja Reddy Research Scholar, Professor, JNTUCE, Professor, Asst vise-president, JNTU Anantapur, Anantapur,

More information

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology,

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology, Low Power PLAs Reginaldo Tavares, Michel Berkelaar, Jochen Jess Information and Communication Systems Section, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands {regi,michel,jess}@ics.ele.tue.nl

More information

A Single Ended SRAM cell with reduced Average Power and Delay

A Single Ended SRAM cell with reduced Average Power and Delay A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,

More information

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES M. PREMKUMAR 1, CH. JAYA PRAKASH 2 1 M.Tech VLSI Design, 2 M. Tech, Assistant Professor, Sir C.R.REDDY College of Engineering,

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Introduction to CMOS VLSI Design Lecture 13: SRAM

Introduction to CMOS VLSI Design Lecture 13: SRAM Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4) Unit 7: Memory Objectives: At the end of this unit we will be able to understand System timing consideration Storage / Memory Elements dynamic shift register 1T and 3T dynamic memory 4T dynamic and 6T

More information

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * School of Engineering and Technology Sharda University Greater

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Standard Cell Library Design and Characterization using 45nm technology

Standard Cell Library Design and Characterization using 45nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 1, Ver. I (Jan. 2014), PP 29-33 e-issn: 2319 4200, p-issn No. : 2319 4197 Standard Cell Library Design and Characterization using

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

PICo Embedded High Speed Cache Design Project

PICo Embedded High Speed Cache Design Project PICo Embedded High Speed Cache Design Project TEAM LosTohmalesCalientes Chuhong Duan ECE 4332 Fall 2012 University of Virginia cd8dz@virginia.edu Andrew Tyler ECE 4332 Fall 2012 University of Virginia

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology

Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology Umashree.M.Sajjanar 1, Maruti.Lamani 2, Mr.Mahesh.B.Neelagar 3 1 PG Scholar, Dept of PG

More information

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER A Dissertation on DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER Submitted towards the partial fulfillment of requirement for the award of degree of Master of Technology in VLSI Design Submitted by Shivkaran

More information

Design of Low Power 5T-Dual Vth SRAM-Cell

Design of Low Power 5T-Dual Vth SRAM-Cell Design of Low Power 5T-Dual Vth SRAM- Chetna 1, Mr. Abhijeet 2 1 M-Tech Electronics and Communication, M.M. Engineering College Maharishi Markandeshwar University Mullana (Ambala) india 2 Lecturer in Electronics

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

Power Gated Match Line Sensing Content Addressable Memory

Power Gated Match Line Sensing Content Addressable Memory International Journal of Embedded Systems, Robotics and Computer Engineering. Volume 1, Number 1 (2015), pp. 1-6 International Research Publication House http://www.irphouse.com Power Gated Match Line

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

An Efficient Design of 8T SRAM Cell Using Transmission Gates

An Efficient Design of 8T SRAM Cell Using Transmission Gates An Efficient Design of 8T SRAM Cell Using Transmission Gates Sameya Firdous M.Tech (VLSI Design), Department of ECE, Siddhartha Institute of Engineering and Technology. ABSTRACT: Static Random Access Memory

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

A Low Power SRAM Cell with High Read Stability

A Low Power SRAM Cell with High Read Stability 16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability One Bit-Line Multi-Threshold SRAM Cell With High Read Stability Prangya Parimita Nanda 1, Kanan Bala Ray 2, Sushree Sangita Das 3 PG Student, School of Electronics Engineering, KIIT University, Bhubaneswar,

More information

POWER ANALYSIS RESISTANT SRAM

POWER ANALYSIS RESISTANT SRAM POWER ANALYSIS RESISTANT ENGİN KONUR, TÜBİTAK-UEKAE, TURKEY, engin@uekae.tubitak.gov.tr YAMAN ÖZELÇİ, TÜBİTAK-UEKAE, TURKEY, yaman@uekae.tubitak.gov.tr EBRU ARIKAN, TÜBİTAK-UEKAE, TURKEY, ebru@uekae.tubitak.gov.tr

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE

LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE 1 Pushpa Raikwal, 2 V. Neema, 3 S. Katiyal 1,3 School of electronics DAVV, Indore, Madhya Pradesh, India 2 Institute of Engineering and Technology,

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Optimize Parity Encoding for Power Reduction in Content Addressable Memory Nisha Sharma, Manmeet Kaur 1 Research Scholar, Chandigarh

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) ISSN (Print): 2279-0047 ISSN (Online): 2279-0055 International

More information

Modeling and Design of high speed SRAM based Memory Chip

Modeling and Design of high speed SRAM based Memory Chip Modeling and Design of high speed SRAM based Memory Chip A Thesis submitted in partial fulfillment of the Requirements for the degree of Master of Technology In Electronics and Communication Engineering

More information

Design of 6-T SRAM Cell for enhanced read/write margin

Design of 6-T SRAM Cell for enhanced read/write margin International Journal of Advances in Electrical and Electronics Engineering 317 Available online at www.ijaeee.com & www.sestindia.org ISSN: 2319-1112 Design of 6-T SRAM Cell for enhanced read/write margin

More information

Design and Implementation of Low Leakage SRAM Acrhitectures using CMOS VLSI Circuits in Different Technology Environment

Design and Implementation of Low Leakage SRAM Acrhitectures using CMOS VLSI Circuits in Different Technology Environment e t International Journal on Emerging Technologies (Special Issue on ICRIET-2016) 7(2): 327-331(2016) ISSN No. (Print) : 0975-8364 ISSN No. (Online) : 2249-3255 Design and Implementation of Low Leakage

More information

EE577b. Register File. By Joong-Seok Moon

EE577b. Register File. By Joong-Seok Moon EE577b Register File By Joong-Seok Moon Register File A set of registers that store data Consists of a small array of static memory cells Smallest size and fastest access time in memory hierarchy (Register

More information

IMPLEMENTATION OF HIERARCHICAL PREDECODER/DECODER STRUCTURE IN OPENRAM OPENSOURCE MEMORY COMPILER MANJU KIRAN SUBBARAYAPPA

IMPLEMENTATION OF HIERARCHICAL PREDECODER/DECODER STRUCTURE IN OPENRAM OPENSOURCE MEMORY COMPILER MANJU KIRAN SUBBARAYAPPA IMPLEMENTATION OF HIERARCHICAL PREDECODER/DECODER STRUCTURE IN OPENRAM OPENSOURCE MEMORY COMPILER By MANJU KIRAN SUBBARAYAPPA Bachelor of Engineering in Electronics and Communication, Sir M Visveswaraya

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY Latha A 1, Saranya G 2, Marutharaj T 3 1, 2 PG Scholar, Department of VLSI Design, 3 Assistant Professor Theni Kammavar Sangam College Of Technology, Theni,

More information

A Comparative Study of Power Efficient SRAM Designs

A Comparative Study of Power Efficient SRAM Designs A Comparative tudy of Power Efficient RAM Designs Jeyran Hezavei, N. Vijaykrishnan, M. J. Irwin Pond Laboratory, Department of Computer cience & Engineering, Pennsylvania tate University {hezavei, vijay,

More information