SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :

Size: px
Start display at page:

Download "SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :"

Transcription

1 SRAM MEMORY ARCHITECTURE Student Name: Purnima Singh Roll Number : BTP report submitted in partial fulfilment of the requirement for the Degree of B.Tech in Electronics and Communication Engineering On BTP ADVISOR Vish Viweswaran Indraprastha Institute of Information Technology New Delhi

2 Student's Declaration I hereby declare that the work presented in the report entitled SRAM ARCHITECTURE" submitted by me for the partial fulfilment of the requirements for the degree of Bachelor of Technology in Electronics & Communication Engineering at Indraprastha Institute of Information Technology, Delhi, is an authentic record of my work carried out under guidance of Vish Visweswaran.Due acknowledgements have been given in the report to all material used. This work has not been submitted anywhere else for the reward of any other degree. Purnima Singh Certificate This is to certify that the above statement made by the candidate is correct to the best of my knowledge.... Place & Date:.....(advisors' name)...

3 Abstract Static Random Access Memory (SRAM) along with CMOS technology is scaling in different processors and system-on-chip (SoC) products rapidly and this has given us the need of innovation in the area of SRAM design. As, designing a larger SRAM cell would help us to reduce variations and increase the stability. Multiple assist schemes and design strategies has been used to increase the stability of memory cell as the role of these Assist schemes is to help in achieving a robust read or write operation This report presents the complete design of the SRAM sub-system architecture. The functioning of all the blocks of the architecture is explained in detail. Then the simulation of the complete architecture is given with the sizing of transistors and setting the initial conditions on cadence.

4 Acknowledgments Towards the end of my B.Tech. degree, I would like to pay my gratitude to several individuals who contributed from various perspectives. First of all, I would like to express my profound gratitude to my BTP mentor and Advisor, Vish Visweswaran for his support, and motivation all along the way. He has been a great source of inspiration for me. I would like to thank my professor at IIITD Dr.Mohammad Hashmi for providing a positive learning atmosphere to work and for the help he gave me through which understanding and working on this environment became less difficult for me.

5 Contents 1 Introduction 1. Memory classifications 2. Types of Memory 3. Architecture and Building Block 2 SRAM 1. SRAM Cell 2. 6T SRAM Cell operations Read Mode Write Mode 3 6T SRAM Cell at low Voltage 1. Reduced Noise Margin during Read Operation 2. Stability Issue during Write at Low Voltage 3. Assist Circuits 4 Simulations Results and Sizing of transistors 5 Summary and problem addressed 6 References 1

6 1. INTRODUCTION Semiconductor memory technology is an essential element of today's electronics. It is an electronic data storage device, often used as computer memory. With the rapid growth in the requirement for semiconductor memories there have been a number of technologies and types of memory that have emerged such as ROM, RAM, EPROM, EEPROM, Flash memory, DRAM and SRAM. Memory Classification Size: As memory is used to store data, we classify them on the basis of size. For example in case of a circuit design we express memory in terms of bits, whereas in case of hierarchy we talk about bytes,which is 8 bits and on a system level it is expressed in terms of words, which is collections of bytes. Function: Memories can also be classified on the basis of how they are accessed ( random or serial ). A random access memory can be accessed for read/write in a random fashion. On the other hand, in serial access memories, the data can be accessed only in a serial fashion. Types of semiconductor memory 1. Random Access Memory (RAM) is the best known form of computer memory. It is a type of a volatile memory, which means that the information can be accessed only till the power is on, it looses data as the power is off.

7 2. Read only memory (ROM) is an example of non-volatile memory. It holds the permanent data and can read it The types of ROM and RAM that are available are: a) DRAM: Dynamic RAM is a form of random access memory. It uses a capacitor to store each bit of data, and the level of charge on each capacitor determines whether that bit is a logical 1 or 0. However these capacitors do not hold their charge indefinitely, and therefore the data needs to be refreshed periodically. As a result of this dynamic refreshing it is named as dynamic RAM. b) SRAM: Static Random Access Memory. Unlike DRAM, the data does not need to be refreshed periodically in SRAM. It is able to support faster read and write times than DRAM. It consumes more power, is less dense and more expensive than DRAM. c) PROM: This stands for Programmable Read Only Memory. It is a semiconductor memory which can only have data written to it once - the data written to it is permanent. d) EPROM: This is an Erasable Programmable Read Only Memory. This form of semiconductor memory can be programmed and then erased at a later time. e) EEPROM: This is an Electrically Erasable Programmable Read Only Memory. Data can be written to it and it can be erased using an electrical voltage. f) Flash memory: Flash memory may be considered as a development of EEPROM technology. Data can be written to it and it can be erased, although only in blocks, but data can be read on an individual cell basis.

8 Memory Architecture and Building Blocks In a simplest way we stack the words linearly and to access a particular word we make the particular select line high. As shown in the figure below, N-word memory with N-select lines. But the problem arises in case of more number of data. To handle the issue, we use a decoder which decodes the address and the particular select line high.

9 But this does not solve our issue of memory aspect ratio (or height >> width). Such a design slower downs the process as the vertical wires connected to the cell are too long. So to bring the aspect ratio to unity a horizontal dimension is also bought such that both vertical and horizontal lines are equal in magnitude. Thus a column decoder is used. Now, To access a particular data both row decoder and column decoder are used and with the combination of both, the desired storage cell can accessed.

10 II. SRAM ARCHITECTURE 6T SRAM CELL The SRAM data storage cell, i.e., the one-bit memory cell consists of a two inverters connected back to back in a simple latch circuit with two stable operating points. Depending on the state of the two inverter latch circuit, the data being held in the memory cell will be interpreted either as logic '0' or as logic '1'. CMOS SRAM Cell The memory cell consists of simple CMOS inverters connected back to back, and two access transistors. The access transistors are turned on whenever a word line is activated for read or write operation, connecting the cell to the complementary bit line columns. The most important advantage of this circuit topology is that the static power dissipation [1] is very small; essentially, it is limited by small leakage current [2]

11 The SRAM cell here consist of a six- transistor, thus referred as 6T-SRAM cell. The two NMOS pass gate transistors (PG) are used to access the cell, therefore they are also termed as access transistors. Word line (WL) is used to access the pass gates transistors connected with the bit lines (BL and BLB) for read or write operations. BL and BLB are input /output lines which helps in reading/writing of the data 6T SRAM CELL OPERATION 1. Read Mode (the data has been requested): To read the data we first precharge both the bit-lines (Bit Line and Bit Line Bar) to the supply level, Vdd. Now we will make the word line (WL) high. As BL and BLB are connected to node 0 and 1 respectively through PG, the BL attached to node 0 starts discharging through PG and PD but the BLB attached to node 1 will still be closer to Vdd. Thus a small differential variation is occurred which is then evaluated by sense amplifier [3], which then give the read data.

12 2. Write Mode (updating the contents) :As sense amplifier is used to read data from the cell similarly while writing, write driver is used to write the data. First, we discharge bit lines (BT and BTB) with the help of write drivers [4]. As word line (WL) still high,the discharged bit line pulls down the node 1 through PG, but pull up transistor (PU) will try to repel this and would like to keep node 1 To have a successful write we want the data to flip (complementary value) therefore the combination of write driver and PG should be much stronger than PU,so that the data gets flipped.

13 III. 6T-SRAM Cell at Low Voltage The size of SRAM cell are always considered and are tried to kept small which require power saving while working at low voltages. But the stability and performance of the cell is also affected at low voltages. The low voltage reduces the Static Noise Margin [5] upon which the stability of the SRAM cell depends. Reduced Noise Margin during Read Operation We know while reading, we pre-charge both the bit lines, keeping WL low. Then we make WL go high due to which BL starts to get discharge through PGL and PDL. Due to this discharge, the node N0 will start rising (say delta V). The problem arises when the rise is more than the threshold of I2 [6] (fig above) which makes the data flip which we don't want. During a read operation, the data at N0 can be overwritten and the read failure occurs. Thus the difference between the delta V and the threshold of the inverter is Static Noise Margin. It is also measured through a butterfly curve.[7]

14 Stability Issue during Write at Low Voltage: Stability of a SRAM cell during write operation is defined by a parameter called Write Margin (WM). In order to write data we want N0 and N1 need to get complementary value of 1 and 0 respectively. To do this WL is made high and BL is discharged.due to this, N1 starts discharging through PGL while PUL opposes this discharge. Our write operation is successful only when PGL is stronger than PUL which will make the node N1 value 1 low. But if PGL is not strong enough to make the node pulled down, write failure occurs. Thus the required BL voltage at which successful write successful occurs is called write Margin. ASSIST CIRCUITS As we know SNM and WM affects the stability of the cell so to improve stability we require assist circuits [8].Read assist (RA) circuits intend to increase the static noise margin (SNM) of the memory cell, while write assist (WA) circuits helps to achieve a successful write operation. Sub-VDD Bit-line Pre-charge: In this scheme, bit lines are not precharged to full supply level. In order to have better SNM, we keep bit lines at much lower level, near to VDD/2 which result in reduction of cell current.

15 Word-Line Under-Drive: Word-line under-drive is most commonly used technique, it increase read stability of the memory cell. In this we keep wordline at a voltage lower than the supply voltage (sayvdd-deltav) Due to which, pass gate device weakens and increases the SNM. Negative Bit-Line Approach: This is the most widely used writeassist method.in this, bit-line is pulled down below 0 or below ground. The write drivers are off and negative charge is given to the bitlines, which strengthens the pass gate of cell. We know that as stronger is pass gate, the discharge of node 1 becomes faster. Memory-Column VDD Lowering: It is also named as Transient Voltage Collapse (TVC).In this we lower the Supply voltage of the selected memory column during write operation. We know while writing, WL is made high and BL is discharged due to which node N1 starts discharging through PGL. In case when PGL is not strong enough to oppose PUL and pull down node 1, Write failure occurs. In that case memory lowering the column supply voltage makes PUL weaker as compared to PGL. Thus successful writes occurs. Hence, numbers of assist options are available like Dual supply, Reduced bitlines, and others, but one schemes may be appropriate for one issue but it may not be necessary suitable for another. SIMULATIONS RESULTS I performed few simualtions to get better understanding about the working of the cell. Firstly, in order to find the SNM of the cell, I simulated a 6T SRAM cell, with supply voltage of 0.8mv and model SS (Slow NMOS Slow PMOS) at temperature -40. From the below graph (fig 1), we saw that the cell doesn t flip the data till it reaches 147.6mV. Going beyond that value can result in failure and cell can flip the data.

16 Fig1 After SNM, I did simulation by combining two types of assists, Negative bit line and word line under drive. In fig 2, I have used WLUD of 150mv and clearly write failure occurs. Fig 2

17 So, In order to make it right, I use negative bitline of -180mV. Keeping the WLUD at 150mv and combing it with another assist (negative bitline ), my write process was successful (fig 3) Fig 3 Thus, I analysed that while working in low voltages, it is bit tedious to run read and write simultaneously because requirement for both the operations are different. Therefore combining the assist can give desired results.

18 The Schematics of 6T SRAM cell formed in Cadence (Fig 4) Fig 4 Sizing of the transistors are kept as follows: M1: 0.2u/65nm M2: 0.2u/65nm M3:0.8u/65nm M0:0.8u/65nm M25: 1u/65nm M26: 1u/65nm M23, M27, M30 etc... remaining all are of 1u/65nm The above values depicts the width of all transistors of the bit cell. It can be clearly observed that the pull down devices are sized higher than the access transistors, this ensures high read stability. Also, pull down devices are sized weaker than the access transistors, this ensures high writeablity

19 Below is the Simulation done for Read operations. Where red line represents worldline (WL) The light pink and yellow colour line are Left and right node respectively And the blue and green line are BLB and BL respectively. This is the read operation happened successfully.

20 Now for the write operations, In the below graph,first signal (red from the top) is WL.Yellow one is BLB The below yellow(red one) is BL. The blue one is Right node, and thus the last one is the left node.

21 POWER AND LEAKAGE CURRENT As we know power consumption is a major issue of today's CMOS technology. Scaling with size results in leakage current, which makes leakages a major issue for short channel devices. There are various reasons of leakage current, such as sub threshold leakage, reverse-biased PN junctions, drain-induced barrier lowering (DIBL), gate-induced drain leakage. However, the main contributor of leakage is the sub-threshold leakage current.[9] Sub-threshold leakage is the drain-source current of a transistor when the gate-source voltage is less than the threshold voltage. More precisely, sub-threshold leakage happens when the transistor is operating in the weak inversion region. Another factor is power. Power dissipation in CMOS circuits can be categorized into two main components - dynamic and static power dissipation. Dynamic dissipation occurs due to switching transient current (referred to as short-circuit current) and charging and discharging of load capacitances (referred to as capacitive switching current). Static dissipation is due to leakage currents drawn continuously from the power supply. SUMMARY AND PROBLEMS ADDRESSED We looked into the design and analysis of Static random Access Memory (SRAMs). We also described how read /write operations are done and the role of noise margin in it. We saw how assist circuits helps to improve the stability and performance of the cell. As, SRAM continues to be a critical component in microelectronics application, we saw at low voltage, sizing affects the stability of the cell. Also Leakage is a serious problem particularly for SRAM. My main motive is to work on SRAM architecture and perform both read write, keeping the voltages as low as possible, But as we know, success of one operation results in failure of other. After understanding the theoretical working of SRAM cell, my aim was to work on the simulations. I aimed to work on how in an architecture an basics operations of read /write results keeping the load cells off and targeting only a particular cell. I came across an issue that while targeting a particular cell in a column, the other cells in the column, termed as load

22 cells still show some undesired behaviour. To deal with it, I combined two types of assists and worked on finding the point where both write and read can be done without affecting the inactive cells. Also, I am trying to simulate write failure at SF corner at very low voltage. And check if monte-carlo simulation is possible there. REFERENCES [1] Jinhui Chen Clark, L.T. Tai-Hua Chen, An Ultra-Low-Power Memory with a Subthreshold Power Supply Voltage, Solid-State Circuits, IEEE Journal, vol.41, Oct 2006, Issue: 10, pp [2] International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August ISSN: All Rights Reserved 2015 IJSETR LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION K.VENUGOPAL P.SIREESH BABU [3]B. Mohammad, P. Dadabhoy, K. Lin, and P. Bassett, Comparative Study of Current Mode and Voltage Mode Sense Amplifier Used for 28nm SRAM, in Microelectronics (ICM), th International Conference on, Dec 2012, pp. 1 [4]E. Grossar Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies, IEEE J.Solid-State Circuits,vol.41,no.11,pp ,Nov [5] E. Seevinck et al., Static-Noise Margin Analysis of MOS SRAM Cells, IEEE J.Solid-State Circuits, vol.sc-22, no.5 pp , Oct [6]B. Calhoun and A. Chandrakasan, Analyzing static noise margin for subthreshold SRAM in 65 nm CMOS, in Proc. Eur. Solid-State Circuits Conf. (ESSCIRC), Sep. 2005, pp [7]Prajna Mishra, Eugene John and Wei-Ming Lin Static Noise Margin and Power Dissipation Analysis of various SRAM Topologies IEEE 56th International Midest Symposium on Circuits and Systems (MWSCAS), pp , 2013 [8] R. W. Mann, J. Wang, S. Nalam, S. Khanna, G. Braceras, H. Pilo, and B. H. Calhoun, Impact of circuit assist methods on margin and performance in 6T SRAM, Solid State Electron., vol. 54, no. 11, pp , Nov [9]Birla, S.,Shukla,N. Kr., Singh,R.K, and Pattanaik,M.,2010 "Leakage Current Reduction in 6T Single Cell SRAM at 90nm Technology, in Proceedings of IEEE International Conference on Advances in Computer Engineering, pp

23

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) ISSN (Print): 2279-0047 ISSN (Online): 2279-0055 International

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

A Single Ended SRAM cell with reduced Average Power and Delay

A Single Ended SRAM cell with reduced Average Power and Delay A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS Read stability and Write ability analysis of different SRAM cell structures Ajay Gadhe*, Ujwal Shirode** *(Department of Electronics, North Maharashtra University, Jalgaon-425001) ** (Department of Electronics,

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY K. Dhanumjaya 1, M. Sudha 2, Dr.MN.Giri Prasad 3, Dr.K.Padmaraju 4 1 Research Scholar, Jawaharlal Nehru Technological

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Design of 6-T SRAM Cell for enhanced read/write margin

Design of 6-T SRAM Cell for enhanced read/write margin International Journal of Advances in Electrical and Electronics Engineering 317 Available online at www.ijaeee.com & www.sestindia.org ISSN: 2319-1112 Design of 6-T SRAM Cell for enhanced read/write margin

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE Dhanya M. Ravi 1 1Assistant Professor, Dept. Of ECE, Indo American Institutions Technical Campus, Sankaram, Anakapalle, Visakhapatnam, Mail id: dhanya@iaitc.in

More information

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Sachin 1, Charanjeet Singh 2 1 M-tech Department of ECE, DCRUST, Murthal, Haryana,INDIA, 2 Assistant Professor, Department of

More information

Concept of Memory. The memory of computer is broadly categories into two categories:

Concept of Memory. The memory of computer is broadly categories into two categories: Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman. Digital Integrated Circuits (83-313) Lecture 7: SRAM Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 16 May 2017 Disclaimer: This course was prepared, in its entirety, by

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * School of Engineering and Technology Sharda University Greater

More information

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Objectives In this lecture you will learn the following Introduction SRAM and its Peripherals DRAM and its Peripherals 30.1 Introduction

More information

Design of Low Power SRAM in 45 nm CMOS Technology

Design of Low Power SRAM in 45 nm CMOS Technology Design of Low Power SRAM in 45 nm CMOS Technology K.Dhanumjaya Dr.MN.Giri Prasad Dr.K.Padmaraju Dr.M.Raja Reddy Research Scholar, Professor, JNTUCE, Professor, Asst vise-president, JNTU Anantapur, Anantapur,

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending

More information

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu.

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu. Memories Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted from Digital Design and Computer Architecture, David Money Harris & Sarah

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

A REVIEW ON LOW POWER SRAM

A REVIEW ON LOW POWER SRAM A REVIEW ON LOW POWER SRAM Kanika 1, Pawan Kumar Dahiya 2 1,2 Department of Electronics and Communication, Deenbandhu Chhotu Ram University of Science and Technology, Murthal-131039 Abstract- The main

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable. PLDs, ASICs and FPGAs FPGA definition: Digital integrated circuit that contains configurable blocks of logic and configurable interconnects between these blocks. Key points: Manufacturer does NOT determine

More information

Energy-Efficient Cache Memories using a Dual-V t 4T SRAM Cell with Read-Assist Techniques

Energy-Efficient Cache Memories using a Dual-V t 4T SRAM Cell with Read-Assist Techniques Energy-Efficient Cache Memories using a Dual-V t SRAM with Read-Assist Techniques Alireza Shafaei and Massoud Pedram Department of Electrical Engineering, University of Southern California, Los Angeles,

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved. Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Internal Memory http://www.yildiz.edu.tr/~naydin 1 2 Outline Semiconductor main memory Random Access Memory

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES M. PREMKUMAR 1, CH. JAYA PRAKASH 2 1 M.Tech VLSI Design, 2 M. Tech, Assistant Professor, Sir C.R.REDDY College of Engineering,

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

SRAM. Introduction. Digital IC

SRAM. Introduction. Digital IC SRAM Introduction Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access Memories Memory Arrays Memory Arrays Random Access Memory Serial Access Memory

More information

A Low Power SRAM Cell with High Read Stability

A Low Power SRAM Cell with High Read Stability 16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT

More information

Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs

Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs Satyanand Nalam, Vikas Chandra, Robert C. Aitken, Benton H. Calhoun Dept. of ECE, University of Virginia, Charlottesville; ARM R&D, San

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

Digital Integrated Circuits Lecture 13: SRAM

Digital Integrated Circuits Lecture 13: SRAM Digital Integrated Circuits Lecture 13: SRAM Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec13 cwliu@twins.ee.nctu.edu.tw 1 Outline Memory Arrays

More information

Low Power and Improved Read Stability Cache Design in 45nm Technology

Low Power and Improved Read Stability Cache Design in 45nm Technology International Journal of Engineering Research and Development eissn : 2278-067X, pissn : 2278-800X, www.ijerd.com Volume 2, Issue 2 (July 2012), PP. 01-07 Low Power and Improved Read Stability Cache Design

More information

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION K.VENUGOPAL P.SIREESH BABU Abstract - A SRAM cell must meet requirements for operation in submicron. As the density of SRAM increases,

More information

Introduction to CMOS VLSI Design Lecture 13: SRAM

Introduction to CMOS VLSI Design Lecture 13: SRAM Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access

More information

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES Sapna Singh 1, Neha Arora 2, Meenakshi Suthar 3 and Neha Gupta 4 Faculty of Engineering Technology, Mody Institute of

More information

ELCT 912: Advanced Embedded Systems

ELCT 912: Advanced Embedded Systems Advanced Embedded Systems Lecture 2: Memory and Programmable Logic Dr. Mohamed Abd El Ghany, Memory Random Access Memory (RAM) Can be read and written Static Random Access Memory (SRAM) Data stored so

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC Journal of Engineering Science and Technology Vol. 9, No. 6 (2014) 670-677 School of Engineering, Taylor s University DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC A. KISHORE KUMAR 1, *, D. SOMASUNDARESWARI

More information

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Jaspreet Kaur Electronics and Communication Engg Section Yadavindra College of Engineering, Talwandi Sabo, India Candy Goyal Assistant Professor,

More information

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell

More information

LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS

LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS 1 D.Sridhar, 2 K.Somesh Kumar, 3 G.V. Ramanaiah 1 Assistant Professor of Department of Electronics and Communication Engineering, SVIET, JNTUK, Kakinada, Andhra

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN 5 Comparative Study and Mathematical Modeling of Power Dissipation in 6Transistor SRAM and 7-Transistor SRAM Seema Verma1, Pooja Srivastava2, Smriti Nanda3, Jayati Vyas4, Bharti Sharma5 1 Associate Professor,

More information

PICo Embedded High Speed Cache Design Project

PICo Embedded High Speed Cache Design Project PICo Embedded High Speed Cache Design Project TEAM LosTohmalesCalientes Chuhong Duan ECE 4332 Fall 2012 University of Virginia cd8dz@virginia.edu Andrew Tyler ECE 4332 Fall 2012 University of Virginia

More information

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability One Bit-Line Multi-Threshold SRAM Cell With High Read Stability Prangya Parimita Nanda 1, Kanan Bala Ray 2, Sushree Sangita Das 3 PG Student, School of Electronics Engineering, KIIT University, Bhubaneswar,

More information

Chapter 5 Internal Memory

Chapter 5 Internal Memory Chapter 5 Internal Memory Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM) Read-write memory Electrically, byte-level Electrically Volatile Read-only memory (ROM) Read-only

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

MODULE 12 APPLICATIONS OF MEMORY DEVICES:

MODULE 12 APPLICATIONS OF MEMORY DEVICES: Introduction to Digital Electronic Design, Module 12 Application of Memory Devices 1 MODULE 12 APPLICATIONS OF MEMORY DEVICES: CONCEPT 12-1: REVIEW OF HOW MEMORY DEVICES WORK Memory consists of two parts.

More information

8.3.4 The Four-Transistor (4-T) Cell

8.3.4 The Four-Transistor (4-T) Cell 전자회로 II 제 10 주 1 강 8.3.4 The Four-Transistor (4-T) Cell Static memory design has shorter access times than dynamic design 6-T static cell provides a to drive the sense amplifier Figure 8.19 : 4-T dynamic

More information

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Shantha Devi.P 1, Vennila.P 2, Ramya.M 3, Krishnakumar.S 4 1PG Scholar,Department of ECE,Theni Kammavar Sangam College of Technology,Tamilnadu,India.

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

An Efficient Design of 8T SRAM Cell Using Transmission Gates

An Efficient Design of 8T SRAM Cell Using Transmission Gates An Efficient Design of 8T SRAM Cell Using Transmission Gates Sameya Firdous M.Tech (VLSI Design), Department of ECE, Siddhartha Institute of Engineering and Technology. ABSTRACT: Static Random Access Memory

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS Purdue University Purdue e-pubs Department of Electrical and Computer Engineering Faculty Publications Department of Electrical and Computer Engineering January 2009 A 32 kb 10T sub-threshold sram array

More information

Memory memories memory

Memory memories memory Memory Organization Memory Hierarchy Memory is used for storing programs and data that are required to perform a specific task. For CPU to operate at its maximum speed, it required an uninterrupted and

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

Design and Implementation of an AHB SRAM Memory Controller

Design and Implementation of an AHB SRAM Memory Controller Design and Implementation of an AHB SRAM Memory Controller 1 Module Overview Learn the basics of Computer Memory; Design and implement an AHB SRAM memory controller, which replaces the previous on-chip

More information