Design of 6-T SRAM Cell for enhanced read/write margin
|
|
- Magdalene May
- 5 years ago
- Views:
Transcription
1 International Journal of Advances in Electrical and Electronics Engineering 317 Available online at & ISSN: Design of 6-T SRAM Cell for enhanced read/write margin Rahul Garg, Ghanshyam Kumar Singh & Ram Mohan Mehra Department of Electronics and Communication Engineering School of Engineering & Technology, Sharda University, Knowledge Park-III, Greater Noida, (UP), Pin-2136 (India) - gksingh88@gmail.com Abstract: SRAM is the most widely used embedded memory in modern digital systems, and their role is preferentially increasing. For all local storing purposes (registers, cache memory etc.), SRAM is the best solution because of its high speed since digital design can run at very high speed as compared to the access time of SRAM. Hence there is always need of increasing the speed of SRAM. This paper present an analysis of the Read/ Write timings of SRAM using 6-T SRAM Cell, a latch-based Sense Amplifier and other peripheral circuitry in 9nm CMOS Technology. Based on the need to improve Access time in Read operation, which takes more time than write operation, a new design is proposed in which two Sense Amplifiers are used in each column of SRAM array. Each column of SRAM array is split into two equal portions and separate sense amplifiers are used in both the portions keeping the write driver same for both the parts which reduces the time of Read operation by around 5%. A control circuitry is used to enable the sense amplifiers one at a time according to the column address. The design netlist was generated using ORCAD tool and the simulation was done using spice models. However, there is a marginal increment in the area due to additional sense amplifiers used in the proposed design. It has been shown that the proposed design would improve the time of read operation without compromising with the power. Keywords: 6-T SRAM cell, CMOS, ORCAD, Read/Write timing I.INTRODUCTION Modern digital systems require the capability of storing and retrieving large amounts of information at high speeds. Memories are circuits or systems that store digital information in large quantity. This chapter addresses the analysis and design of VLSI memories, commonly known as semiconductor memories. Today, memory circuits come in different forms including SRAM, DRAM, ROM, EPROM, E2PROM, Flash, and FRAM. While each form has a different cell design, the basic structure, organization, and access mechanisms are largely the same [1-6]. In this paper, we present an analysis of the Read/ Write timings of SRAM using 6-T SRAM Cell, a latch-based Sense Amplifier and other peripheral circuitry in 9nm CMOS Technology.
2 IJAEEE,Volume 2, Number 2 Rahul Garg et al. Recent surveys indicate that roughly 3% of the worldwide semiconductor business is due to memory chips [7-9]. Over the years, technology advances have been driven by memory designs of higher and higher density. Electronic memory capacity in digital systems ranges from fewer than 1 bits for a simple function to standalone chips containing 256 Mb (1 Mb _ 21 bits) or more.1 Circuit designers usually speak of memory capacities in terms of bits, since a separate flip-flop or other similar circuit is used to store each bit. On the other hand, system designer s usually state memory capacities in terms of bytes (8 bits); each byte represents a single alphanumeric character [1-12]. Very large scientific computing systems often have memory capacity stated in terms of words (32 to 128 bits). Each byte or word is stored in a particular location that is identified by a unique numeric address. Memory storage capacity is usually stated in units of kilobytes (K bytes) or megabytes (M bytes). Because memory addressing is based on binary codes, capacities that are integral powers of 2 are most common. Thus the convention is that, for example, 1K byte 1,24 bytes and 64K bytes _ 65,536 bytes. In most memory systems, only a single byte or word at a single address is stored or retrieved during each cycle of memory operation. Dual-port memories are also available that have the ability to read/write two words in one cycle [2-4]. II. PROPOSED DESIGN OF 6-T FAST RAM The schematic of Read circuitry used in the proposed design is shown in Fig-1. Read enable (RE) signal is given as common input to two NAND gate while and bar becomes other two inputs for the gate. Push pull configuration of transistors finally drive the Data input line. Basic NAND gate design strategy is used to design transistors. All the transistors of the NAND gate has common W/L ratio. Transistors M9 and M1 have twice the width of Transistor M3 and M4. Write circuit should be able to force the and bar line to change its state as per the given input data by charging the large bit line capacitances instantaneously. Hence write circuit is designed with NOR gates to provide higher current driving capability. Transistor level schematic is shown in Fig-2. The circuit resembles the read circuit with NAND gate replaced by NOR gates. Write enable (WE) signals control the write operation. Output of each NAND gate is driven by NMOS transistor having higher W/L ratio. These two transistors drive and bar lines.
3 V2 Design of 6-T SRAM Cell for enhanced read/write margin 5Vdc 319 5Vdc V3 M7 M1 M8 V4 5Vdc DSTM5 CLK OFFTIME =.5uS ONTIME =.5uS DELAY = STARTVAL = OPPVAL = 1 READ ENAE M11 M5 M12 M2 5Vdc V1 M9 M3 DATA OUT M6 DSTM2 M1 CLK M4 OFFTIME =.5uS ONTIME =.5uS DELAY = STARTVAL = OPPVAL = 1 READ ENAE Fig-1 Read Circuit
4 IJAEEE,Volume 2, Number 2 Rahul Garg et al. VDD V1 1.8Vdc M1 VDD V2 1.8Vdc M2 M7 OFFTIME =.5uS DSTM1 ONTIME =.5uS CLK DELAY = 2ns STARTVAL = OPPVAL = 1 WRITE ENAE M3 M4 M5 M2N7 M6 M2N7 M2N7 M1 M8 M2N7 M2N7 M9 M2N7 DATA IN Fig-2 Write Circuit A. Row Decoder In the case of row decoder, PMOS is activated by precharge control signal PEbar prior to the address decoding process. All word line (WL) is pulled high to VDD during precharge. Column (or block) decoders have to provide the discharge path from the precharged bit line to the sense amplifier during read operation. The same lines should be able to drive the bit line to write either or 1 to the memory SRAM cell. Read and write access time of the memory is primarily restricted by the propagation delay of the decoder. Decoder outputs are connected throughout the memory cell making long interconnections which are main resources of delay and higher power consumption. A 2:4 row decoder used in this design is shown in Fig-3.
5 Design of 6-T SRAM Cell for enhanced read/write margin 321 In this design MSB of Row address controls enable of sense amplifiers. When MSB=, first row of sense amplifiers will be enabled during read operation. Similarly when MSB=1, other row of sense amplifiers will be enabled during read operation. v dd M18 A1 en A M16 U1 VDDGND I1 OUT I2 and gate AObA1 M14 U2 VDDGND I1 OUT I2 and gate U3 VDDGND I1 OUT I2 and gate AA1b AbA1b M17 M1 U4 VDDGND I1 OUT I2 and gate AA1 gnd Fig-3: 2:4 Decoder B. 6-T CELL To ensure read stability of the 6T cell shown below in Fig-4, the voltage across M8 should be less than the threshold voltage when the charge on BAR is discharged through M8 and M11. Intuitively, read stability can be met by choose the size of M8 to be greater M11. The exact size of M8 can be determined from the cell ratio (CR), where
6 IJAEEE,Volume 2, Number 2 Rahul Garg et al. CR has to be greater than 1.2 to ensure read stability. A CR value of 1.5 is chosen for the design of 6T cell. WL BB M4 VDD M5 M11 M9 M1 M8 GND Fig-4 6-T SRAM Cell To ensure write stability, the voltage across M1 should be less than the threshold voltage when is pulled low to write a into the 6T cell. Similarly to read stability, the exact size of M1 can be determined from the pull-up ratio (PR), where CR has to be at least less than 1.8 to ensure read stability. A CR value of 1 is chosen for the design of 6T cell. The end result of transistor sizing after stability analysis is shown below: W4 = W5 = W1 = W11 minimum layout width =.48µm W8 = W9 = 1.5W5 =.72µm C. SENSE AMPLIFIER Since SRAM cells provide true differential outputs any differential configuration of sense amplifier is directly applied to SRAM design. The Schematic of latch based type of configuration
7 Design of 6-T SRAM Cell for enhanced read/write margin 323 used in this design is shown in Figure-5. Sense enable (SE) signal is used to turn ON/OFF, the sense amplifier and bar becomes I/O terminals of amplifier. During read operation, if cell had stored 1, then a small +ve voltage will develop between and bar with V>Vbar. Then amplifier raises voltage V to VDD and Vbar to V. This output is then directed to the chip I/O pin by the column decoder. M6 v dd M7 BB M13 M12 s_en M14 gnd Figure-5 Latch based Sense Amplifier III. SIMULATION RESULTSS The read time of the design given below is compared with the proposed design. The simulation result of the proposed design is shown below in Fig 6 (a) and (b). WRITE 1 WRITE WRITE TIME = 45 ns (a)
8 IJAEEE,Volume 2, Number 2 Rahul Garg et al. SENSE _EN READ 1 READ TIME = 78 ns (b) Figure -6 Output Waveforms of 1-bit SRAM IV. CONCLUSION A 4 2 bits SRAM was designed and simulated in 2µm CMOS technology using Cadence ORCAD 16.3 software. The simulation results indicate that the proposed design of SRAM circuit has less Read Access Time compared to the conventional SRAM circuit. The read access time in the proposed design was 52ns which is reduced by around 34%. This shows that the proposed design can be very effective for the applications which needed high speed SRAMs like Cache Memory but with the cost of area. However, use of extra sense amplifiers in the proposed design do not increase the power consumption as only one sense amplifier in each column is active during the Read operation which is controlled by Row Address of MSB. The Read Access Time can be further reduced by considering some design modifications in Sense Amplifier which was left untouched in this design. V. FUTURE WORK As the simulation results shows that the Read Access time was not reduced up to expectation, there is much more left to do with the design in future like design of Sense amplifier for Fast Read operation.
9 Design of 6-T SRAM Cell for enhanced read/write margin 325 Also the design and Simulation was done in 2 µm technology which would have done at sub-micron level using more advanced tools but it was not possible due to the unavailability of the needed tools. So, the preferred task in future is to test the proposed design with 32KB SRAM at 32 nm Technology. REFERENCES [1] Andrew Carlson, Sriram Balasubramanian, Radu Zlatanovici, Tsu-Jae King Liu, and Borivoje Nikolic, SRAM Read/Write Margin Enhancements Using FinFETs, IEEE Transactions on VLSI systems, September, 29. [2] S. A. Tawfik and V. Kursun, Low power and roubst 7T dual-vt SRAM circuit, in Proc. IEEE Int. Symp. Circ. Sys., ISCAS 28, Seatle, WA, USA, 28, pp [3] M. Pelgrom, A. Duinmaijer, and A. Welbers, Matching properties of MOS transistors, IEEE J. Solid-State Circuits, vol. 24, no. 5, pp , Oct [4] H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S.Lamphier, M. Miller, A. Roberts, and F. Towler, An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage, in Proc. VLSI Circuits Symp., 26, pp [5] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N.Vallepalli, Y. Wang, B. Zheng, and M. Bohr, A 3 GHz 7 Mb SRAM in 65 nm CMOS technology with integrated column-based dynamic power supply, in Proc. ISSCC, 25, pp [6] J. P. Colinge, Reduction of floating substrate effect in thin-film SOI MOSFETs, Electron. Lett., vol. 22, pp , [7] Seevinck, F.J. List, J. Lohstroh, Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circuits SC-22(5), (1987) [8] M. Sharifkhani, M. Sachdev, \ SRAM Cell Data Stability: A Dynamic Perspective", IEEE Journal of Solid State Circuits (IEEE JSSC), June 26. [9] M. Sharifkhani, S. M. Jahinnuzaman, M. Sachdev, \ Dynamic Data Stability in SRAM Cells and its Implications on Data Stability Tests", Proceedings of IEEE International Workshop on Memory Technology, Design, and Testing, pp , 26 (IEEE MTDT'6). [1] J. Lohstroh, \Static and dynamic noise margins of logic circuits," IEEE J. Solid-State Circuits, vol. SC-14, pp , [11] C. Mead and L. Conway, Introduction to VLSI systems. Addison Wesley, 198. [12] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Yang, B.Zheng, and M. Bohr, A SRAM Design on 65nm CMOS Technology with Integrated Leakage Scheme, Symposium on VLSI Circuits (VLSI) Digest of Technical Papers, pp , 24
Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology
Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power
More informationDesign and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology
Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,
More informationSTUDY OF SRAM AND ITS LOW POWER TECHNIQUES
INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)
More information8Kb Logic Compatible DRAM based Memory Design for Low Power Systems
8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute
More information+1 (479)
Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial
More informationInternational Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN
International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP
More informationColumn decoder using PTL for memory
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy
More information6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1
6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,
More informationSemiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.
ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM
More informationLow Power SRAM Design with Reduced Read/Write Time
International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low
More informationDESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY
DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &
More informationA Single Ended SRAM cell with reduced Average Power and Delay
A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,
More information! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR
ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview
More informationMemory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.
Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile
More informationLecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.
Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports
More informationMemory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM
ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory
More informationLOW POWER SRAM CELL WITH IMPROVED RESPONSE
LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,
More informationSemiconductor Memory Classification
ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!
More informationESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems
ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter
More informationLecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010
EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays
More informationLow Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,
Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,
More informationInternational Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL
Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER
More informationSRAM Memory Layout Design in 180nm Technology
SRAM Memory Layout Design in 180nm Technology Praveen K N M.Tech in VLSI Design & Embedded Systems JSS Academy of Technical Education, Bengaluru, India B. G. Shivaleelavathi Professor, H.O. D ECE Department,
More informationAnalysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool
Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool Sachin 1, Charanjeet Singh 2 1 M-tech Department of ECE, DCRUST, Murthal, Haryana,INDIA, 2 Assistant Professor, Department of
More informationCENG 4480 L09 Memory 2
CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent
More informationA Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit
International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,
More information! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips
ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories
More informationInternational Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering
IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India
More informationHigh Performance Memory Read Using Cross-Coupled Pull-up Circuitry
High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA
More information250nm Technology Based Low Power SRAM Memory
IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power
More informationMEMORIES. Memories. EEC 116, B. Baas 3
MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:
More informationA Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM
IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit
More informationPICo Embedded High Speed Cache Design Project
PICo Embedded High Speed Cache Design Project TEAM LosTohmalesCalientes Chuhong Duan ECE 4332 Fall 2012 University of Virginia cd8dz@virginia.edu Andrew Tyler ECE 4332 Fall 2012 University of Virginia
More informationA Comparative Study of Power Efficient SRAM Designs
A Comparative tudy of Power Efficient RAM Designs Jeyran Hezavei, N. Vijaykrishnan, M. J. Irwin Pond Laboratory, Department of Computer cience & Engineering, Pennsylvania tate University {hezavei, vijay,
More informationDesign and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM
Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department
More informationPrototype of SRAM by Sergey Kononov, et al.
Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant
More informationImplementation of DRAM Cell Using Transmission Gate
Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1
More informationSense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.
Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions
More informationDigital Integrated Circuits Lecture 13: SRAM
Digital Integrated Circuits Lecture 13: SRAM Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec13 cwliu@twins.ee.nctu.edu.tw 1 Outline Memory Arrays
More informationDesign of Low Power Wide Gates used in Register File and Tag Comparator
www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,
More informationMemory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend
Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write
More informationIntegrated Circuits & Systems
Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification
More informationIntroduction to CMOS VLSI Design Lecture 13: SRAM
Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access
More informationVery Large Scale Integration (VLSI)
Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction
More informationIJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *
IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * School of Engineering and Technology Sharda University Greater
More informationSRAM. Introduction. Digital IC
SRAM Introduction Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access Memories Memory Arrays Memory Arrays Random Access Memory Serial Access Memory
More informationCMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM
CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1
More informationA Low Power SRAM Base on Novel Word-Line Decoding
Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and
More informationDual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a
International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari
More informationPOWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY
ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,
More informationChapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan
Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read
More informationCALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL
CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,
More informationINTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017
Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of
More informationIntroduction to SRAM. Jasur Hanbaba
Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial
More informationDesign and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology
Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,
More informationCOMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY
COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya
More informationSimulation and Analysis of SRAM Cell Structures at 90nm Technology
Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody
More informationPOWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE
POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE Dhanya M. Ravi 1 1Assistant Professor, Dept. Of ECE, Indo American Institutions Technical Campus, Sankaram, Anakapalle, Visakhapatnam, Mail id: dhanya@iaitc.in
More informationA Novel Architecture of SRAM Cell Using Single Bit-Line
A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,
More informationDigital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.
Digital Integrated Circuits (83-313) Lecture 7: SRAM Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 16 May 2017 Disclaimer: This course was prepared, in its entirety, by
More informationA Low Power SRAM Cell with High Read Stability
16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT
More informationCMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計
CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access
More informationMemory Classification revisited. Slide 3
Slide 1 Topics q Introduction to memory q SRAM : Basic memory element q Operations and modes of failure q Cell optimization q SRAM peripherals q Memory architecture and folding Slide 2 Memory Classification
More informationDesign and verification of low power SRAM system: Backend approach
Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum -590008,
More informationEE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements
EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last
More informationDESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES
Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR
More informationDESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC
Journal of Engineering Science and Technology Vol. 9, No. 6 (2014) 670-677 School of Engineering, Taylor s University DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC A. KISHORE KUMAR 1, *, D. SOMASUNDARESWARI
More informationContent Addressable Memory performance Analysis using NAND Structure FinFET
Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 1077-1084 Research India Publications http://www.ripublication.com Content Addressable Memory performance
More informationMagnetic core memory (1951) cm 2 ( bit)
Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM
More informationModule 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals
Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Objectives In this lecture you will learn the following Introduction SRAM and its Peripherals DRAM and its Peripherals 30.1 Introduction
More informationDesign of Read and Write Operations for 6t Sram Cell
IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 1, Ver. I (Jan.-Feb. 2018), PP 43-46 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Read and Write Operations
More informationMemory in Digital Systems
MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked
More informationDYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)
DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS
More informationLecture 11: MOS Memory
Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is
More informationAnalysis of 8T SRAM Cell Using Leakage Reduction Technique
Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell
More informationESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)
ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,
More informationLecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives
Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:
More informationCHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI
CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,
More informationSurvey on Stability of Low Power SRAM Bit Cells
International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power
More informationDRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias
ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University
More informationPower Reduction Techniques in the Memory System. Typical Memory Hierarchy
Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache
More informationECE 485/585 Microprocessor System Design
Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering
More informationCELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY K. Dhanumjaya 1, M. Sudha 2, Dr.MN.Giri Prasad 3, Dr.K.Padmaraju 4 1 Research Scholar, Jawaharlal Nehru Technological
More informationEnergy-Efficient Cache Memories using a Dual-V t 4T SRAM Cell with Read-Assist Techniques
Energy-Efficient Cache Memories using a Dual-V t SRAM with Read-Assist Techniques Alireza Shafaei and Massoud Pedram Department of Electrical Engineering, University of Southern California, Los Angeles,
More informationPOWER ANALYSIS RESISTANT SRAM
POWER ANALYSIS RESISTANT ENGİN KONUR, TÜBİTAK-UEKAE, TURKEY, engin@uekae.tubitak.gov.tr YAMAN ÖZELÇİ, TÜBİTAK-UEKAE, TURKEY, yaman@uekae.tubitak.gov.tr EBRU ARIKAN, TÜBİTAK-UEKAE, TURKEY, ebru@uekae.tubitak.gov.tr
More informationImplementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique
International journal of scientific and technical research in engineering (IJSTRE) www.ijstre.com Volume 1 Issue 5 ǁ August 2016. Implementation of Efficient Ternary Content Addressable Memory by Using
More informationA Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications
Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol
More informationDESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY
DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY Gangadhar Akurathi 1, Suneel kumar Guntuku 2 and K.Babulu 3 1 Department of ECE, JNTUK-UCEV, Vizianagaram, Andhra Pradesh, India
More informationDesign of Low Power SRAM in 45 nm CMOS Technology
Design of Low Power SRAM in 45 nm CMOS Technology K.Dhanumjaya Dr.MN.Giri Prasad Dr.K.Padmaraju Dr.M.Raja Reddy Research Scholar, Professor, JNTUCE, Professor, Asst vise-president, JNTU Anantapur, Anantapur,
More informationDESIGN OF HIGH SPEED & LOW POWER SRAM DECODER
A Dissertation on DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER Submitted towards the partial fulfillment of requirement for the award of degree of Master of Technology in VLSI Design Submitted by Shivkaran
More informationRandom Access Memory (RAM)
Random Access Memory (RAM) EED2003 Digital Design Dr. Ahmet ÖZKURT Dr. Hakkı YALAZAN 1 Overview Memory is a collection of storage cells with associated input and output circuitry Possible to read and write
More informationComparative Analysis of Low Leakage SRAM Cell at 32nm Technology
Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Jaspreet Kaur Electronics and Communication Engg Section Yadavindra College of Engineering, Talwandi Sabo, India Candy Goyal Assistant Professor,
More informationA Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.
A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline
More informationCS250 VLSI Systems Design Lecture 9: Memory
CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled
More informationConcept of Memory. The memory of computer is broadly categories into two categories:
Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.
More informationELCT 912: Advanced Embedded Systems
Advanced Embedded Systems Lecture 2: Memory and Programmable Logic Dr. Mohamed Abd El Ghany, Memory Random Access Memory (RAM) Can be read and written Static Random Access Memory (SRAM) Data stored so
More informationSRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :
SRAM MEMORY ARCHITECTURE Student Name: Purnima Singh Roll Number : 2012151 BTP report submitted in partial fulfilment of the requirement for the Degree of B.Tech in Electronics and Communication Engineering
More informationDigital Systems. Semiconductor memories. Departamentul de Bazele Electronicii
Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending
More informationPOWER REDUCTION IN CONTENT ADDRESSABLE MEMORY
POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY Latha A 1, Saranya G 2, Marutharaj T 3 1, 2 PG Scholar, Department of VLSI Design, 3 Assistant Professor Theni Kammavar Sangam College Of Technology, Theni,
More informationA novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.
A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. Anselme Vignon, Stefan Cosemans, Wim Dehaene K.U. Leuven ESAT - MICAS Laboratory Kasteelpark Arenberg
More information