Module Title: Microprocessor Systems 2

Size: px
Start display at page:

Download "Module Title: Microprocessor Systems 2"

Transcription

1 CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ Autumn Examinations 2008/09 Module Title: Microprocessor Systems 2 Module Code: ELTR6017 School: Electrical and Electronic Engineering Programme Title: Bachelor of Engineering in Electronic Engineering Stage 2 Programme Code: EELXE_7_Y2 External Examiner(s): Mr D Denieffe Dr P O Sullivan Internal Examiner(s): Mr J O Sullivan Instructions: Attempt any three questions. All questions carry equal marks. Ensure you return the before-and-after sheet with your answer book. Duration: Two hours Sitting: Autumn 2009 Requirements for this examination: N/A Note to Candidates: Please check the Programme Title and the Module Title to ensure that you have received the correct examination paper. If in doubt please contact an Invigilator. You are expected to present your answers in a neat and tidy fashion Start each question on a new page Remember that there is plenty of time two hours to do three questions

2 Q1 (a) Briefly explain what is meant by a subroutine and describe how subroutines are facilitated in a microcomputer. (b) The subroutine below is a programmer s first effort at writing a subroutine to generate a short delay on the Flight-68K microcomputer development system. The code contains a fatal error. Identify the error, explain its effect and show how the subroutine could be rewritten to rectify the mistake. DELAY MOVE.L #25000,D0 SUB.L #1,D0 BNE DELAY RTS (c) Assuming the master clock on the Flight-68K operates at a frequency of 10MHz and the execution times for the instructions SUB.L and BNE are 12 and 8 MPU cycles respectively, determine the length of the delay generated by the above subroutine, when fixed show all working. (d) Write a main program which uses the above subroutine to slowly print out on the Flight-68K system a welcome message stored as a NULL-terminated ASCII string at location $ Use system subroutine OUTCH to facilitate printing of the message. [3 marks] [6 marks] [6 marks] Q2 (a) Draw a simplified block diagram showing the internal structure of a programmable 8-bit single-port parallel input/output chip, and describe the function of each section of the device. How is the MPU able to access each section of this chip? (b) Use a circuit diagram to show how four switches and four LEDs could be interfaced to the parallel port of the above chip. Give a brief explanation of the circuit action. (c) With the aid of a simple diagram, explain how the input/output port lines can be configured for the above setup, and write a sample instruction that would facilitate this. (d) Complete the before-and-after table accompanying this paper. [3 marks] [8 marks] Microprocessor Systems Cork Institute of Technology

3 Q3 Figure 2 below shows a section of memory in a based computer. Carefully analyse this circuit and answer the following questions. In each case give reasons for your answer and where appropriate, show any calculations or other relevant working. (a) Are the memory chips in the diagram, RAM or ROM?. (b) Determine the capacity of each chip. (c) What data is stored in (i) the chip on the left, (ii) the chip on the right? (d) Using a truth table, explain in detail the function performed by the two-input NAND gates in the centre of the circuit. (e) Explain the purpose of the nine-input NAND gate on the right to which address lines A23 - A16 are connected. (f) Using an address map, determine the range of addresses occupied by the pair of memory chips in the circuit. (g) What is the purpose of the delay circuit near the MPU? (h) Describe the sequence of action that takes place when the MPU reads a word of data from this memory, paying particular attention to all control lines shown. [2 marks] [2 marks] A23 A15 A15 A16 A1 AS LDS UDS 16-bit MPU (68000) CS CS MEN DTACK Delay circuit D15 D8 D7 D0 Figure 2 Microprocessor Systems Cork Institute of Technology

4 Q4 (a) In relation to serial data communications, what do the letters UART stand for? (b) With the aid of a diagram, explain the principle of asynchronous serial datacommunications, and describe how the receiving computer is able to maintain synchronism with the incoming data stream. (c) Figure 1 below shows how an MC68681 DUART chip might be connected to a MPU. Carefully analyse the diagram and answer the following questions: (i) identify and state the function of the chip (ii) describe the function of all lines on the right hand side of the chip. (iii) what is the purpose of address lines A1-A4 connected to the DUART? (iv) is the DUART an 8-bit or 16-bit device? - explain fully your conclusion (v) explain the function of the crystal connected to the X1 and X2 inputs. [10 marks] A5-A23 A1-A4 A1-A23 RS1-RS4 TXDA MC68000 MPU AS LDS Address decoder SEN CS X1 MC68681 DUART RXDA IP0-IP5 X2 OP0-OP7 RESET DTACK RESET DTACK TXDB D0-D15 D0-D7 RXDB D0-D15 D0-D7 Figure 1 (d) Table 1 below shows a subroutine called INCH, written to input a character via Port A of the DUART. In relation to the overall task being performed, explain the function of each line of the routine. N.B see extra data on next page INCH MOVEA.L #$A00001,A0 WAIT MOVE.B 2(A0),D0 LSR.B #1,D0 BCC WAIT MOVE.B 6(A0),D0 RTS Table 1 Microprocessor Systems Cork Institute of Technology

5 The following information from the Flight-68K manual may be of help to you: DUART base address: $A00001 Port A status register: $A00003 Port A Rx buffer register: $A00007 Port A Status Register SRA Break Frame Parity Overrun TxEMT TxRDY FFULL RxRDY Microprocessor Systems Cork Institute of Technology

6 CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ Semester 2 Examinations 2008/09 Module Title: Microprocessor Systems 2 BEFORE-AND-AFTER TABLE Question 2(d) Registers before Flags before Instruction Registers after Flags after D0: D1: MOVE.B D1,D0 D0: D1: D0: 19A42B6A D1: B72D CMP.B D0,D1 D0: D1: D0: 7125BA9C EOR.B #$0F,D0 D0: D0: 41D ROR.B #2,D0 D0: Name: Return this sheet with your answer book Microprocessor Systems Cork Institute of Technology

CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ. Electrical and Electronic Engineering

CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ. Electrical and Electronic Engineering CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ Module Title: Microcomputer Applications Module Code: MIAP E3001 School: Electrical and Electronic Engineering Programme Title: Bachelor

More information

School of Computer Science Faculty of Engineering and Computer Science Student ID Number. Lab Cover Page. Lab Date and Time:

School of Computer Science Faculty of Engineering and Computer Science Student ID Number. Lab Cover Page. Lab Date and Time: Student Information First Name School of Computer Science Faculty of Engineering and Computer Science Last Name Student ID Number Lab Cover Page Please complete all fields: Course Name: Structure and Application

More information

Module Title: Scada and Industrial Networks

Module Title: Scada and Industrial Networks CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ Semester 2 Examinations 2008/09 Module Title: Scada and Industrial Networks Module Code: ELEC 8014 School: Electrical & Electronic Engineering

More information

University of Alexandria Faculty of Engineering Division of Communications & Electronics

University of Alexandria Faculty of Engineering Division of Communications & Electronics University of Alexandria Faculty of Engineering Division of Communications & Electronics Subject Name: Microprocessors Lecturer: Dr. Mohammed Morsy Academic Year: 2012 2013 Assistants: Eng. Ahmed Bedewy

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

PART - B (Answer all five units, 5 X 10 = 50 Marks)

PART - B (Answer all five units, 5 X 10 = 50 Marks) Code: 13A04507 R13 B.Tech III Year I Semester (R13) Supplementary Examinations June 2017 MICROPROCESSS & INTERFACING (Common to CSE & IT) PART - A (a) Mention the function of the instruction ADD M of 8085

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

N.B. These pastpapers may rely on the knowledge gained from the previous chapters.

N.B. These pastpapers may rely on the knowledge gained from the previous chapters. N.B. These pastpapers may rely on the knowledge gained from the previous chapters. 1 SEC 94-PAPER 1-Q3B Briefly explain the purpose of the PROGRAM COUNTER 2 SEC 94-PAPER 2A-Q4 (a) Why is a 16-bit 60 MHz

More information

S.R.M. INSTITUTE OF SCIENCE & TECHNOLOGY SCHOOL OF ELECTRONICS & COMMUNICATION ENGINEERING

S.R.M. INSTITUTE OF SCIENCE & TECHNOLOGY SCHOOL OF ELECTRONICS & COMMUNICATION ENGINEERING S.R.M. INSTITUTE OF SCIENCE & TECHNOLOGY SCHOOL OF ELECTRONICS & COMMUNICATION ENGINEERING QUESTION BANK Subject Code : EC307 Subject Name : Microprocessor and Interfacing Year & Sem : III Year, V Sem

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Name : MICROPROCESSORS AND INTERFACING Code : AEC021 Class : B.

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

CMPE401 Computer Interfacing

CMPE401 Computer Interfacing CMPE401 Computer Interfacing MIDTERM EXAMINATION October 24, 2007 Name: ID: 5 questions. 50 minutes. Allowed material: Course notes A 68000 Programming Reference Card Calculators Model solutions of midterms,

More information

MEMORY-MAPPED I /O. Universiti Teknikal Malaysia Melaka. Input/Output System Design

MEMORY-MAPPED I /O. Universiti Teknikal Malaysia Melaka. Input/Output System Design Universiti Teknikal Malaysia Melaka Faculty of Electronics and Computer Engineering Department of Computer Engineering BENM 2123 MICROPROCESSOR TECHNOLOGY Instead of connecting to auxiliary memory, address

More information

PART B UNIT II PART A

PART B UNIT II PART A SRM INSTITUTE OF SCIENCE AND TECHNOLOGY (Deemed University) DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING QUESTION BANK SUB : Microprocessor/CS201 YEAR/SEM : II/III UNIT I PART - A 1. Differentiate accumulator

More information

4. (a) With a neat sketch explain 8237 DMA controller and its operation? (b) With the help of basic cell explain SRAM and DRAM?

4. (a) With a neat sketch explain 8237 DMA controller and its operation? (b) With the help of basic cell explain SRAM and DRAM? Code No: R05220504 Set No. 1 II B.Tech II Semester Regular Examinations, Apr/May 2008 MICROPROCESSORS AND INTERFACING ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

Lab 2 Use Traps. Lab 2 Input and Output 2 nd Semester. Lab 2 English. Lab 2 Pseudocode

Lab 2 Use Traps. Lab 2 Input and Output 2 nd Semester. Lab 2 English. Lab 2 Pseudocode Lab 2 Input and Output Lab 2 Use Traps Write (i.e. design and implement) an assembly language program that will accept user input from the keyboard and echo this to the terminal screen. Input should terminate

More information

QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS

QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS FATIMA MICHAEL COLLEGE OF ENGINEERING & TECHNOLOGY Senkottai Village, Madurai Sivagangai Main Road, Madurai -625 020 QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS UNIT 1 - THE 8085 AND 8086

More information

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5.

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5. DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6502- MICROPROCESSORS AND MICROCONTROLLERS UNIT I: 8085 PROCESSOR PART A 1. What is the need for ALE signal in

More information

May the Schwartz be with you!

May the Schwartz be with you! Department of Electrical & Computer Engineering Tuesday 27 June 17 29-Sep-17 3:54 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front

More information

Hierarchy of I/O Control Devices

Hierarchy of I/O Control Devices Hierarchy of I/O Control Devices 8155 I/O + Timer 2 Port (A,B), No Bidirectional HS mode (C) 4 mode timer 8253/54 Timer 6 mode timer 8255 I/O 2 Port (A,B) A is Bidirectional HS mode (C) Extra controls

More information

Module 12: Elementary Input/Output

Module 12: Elementary Input/Output Module 12: Elementary Input/Output I/O Concepts Typical Organization of an I/O Device Memory-mapped vs Isolated I/O Elementary output with latch and LED Elementary input with buffer and switch 7-segment

More information

Alex Milenkovich 1. CPE/EE 421 Microcomputers: Motorola The CPU Hardware Model. Outline

Alex Milenkovich 1. CPE/EE 421 Microcomputers: Motorola The CPU Hardware Model. Outline Outline CPE/EE 421 Microcomputers: Motorola 68000 The CPU Hardware Model Instructor: Dr Aleksandar Milenkovic Lecture Notes 68000 interface Timing diagram Minimal configuration using the 68000 Extensions

More information

Serial Communication. Transmit. Receive To EECC250 - Shaaban. From Universal Asynchronous Receiver/Transmitter (UART) Parity Bit

Serial Communication. Transmit. Receive To EECC250 - Shaaban. From Universal Asynchronous Receiver/Transmitter (UART) Parity Bit Parity Bit ASCII Character From 68000 Transmit Transmitter Buffer (TB) Serial Communication Receive To 68000 ASCII Character Parity Bit To device Parity Bit ASCII Character Receiver Buffer (RB) Universal

More information

Parallel-to-Serial and Serial-to-Parallel Converters

Parallel-to-Serial and Serial-to-Parallel Converters Session 1532 Parallel-to-Serial and Serial-to-Parallel Converters Max Rabiee, Ph.D., P.E. University of Cincinnati Abstract: Microprocessors (MPUs) on a computer motherboard communicate in a parallel format

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

Introduction to Computers - Chapter 4

Introduction to Computers - Chapter 4 Introduction to Computers - Chapter 4 Since the invention of the transistor and the first digital computer of the 1940s, computers have been increasing in complexity and performance; however, their overall

More information

ELE4. ELECTRONICS Unit 4 Electronic Control Systems. General Certificate of Education June 2005 Advanced Level Examination

ELE4. ELECTRONICS Unit 4 Electronic Control Systems. General Certificate of Education June 2005 Advanced Level Examination Surname Centre Number Other Names Candidate Number Leave blank Candidate Signature General Certificate of Education June 2005 Advanced Level Examination ELECTRONICS Unit 4 Electronic Control Systems ELE4

More information

Tutorial 1 Microcomputer Fundamentals

Tutorial 1 Microcomputer Fundamentals Tutorial 1 Microcomputer Fundamentals Question 1 What do these acronyms mean? (a) CPU? (b) ROM? (c) EPROM? (d) RWM? (e) RAM? (f) I/O? What role does the CPU play in a computer system? Why is ROM an essential

More information

CPE/EE 421 Microcomputers

CPE/EE 421 Microcomputers CPE/EE 421 Microcomputers THE 68000 CPU HARDWARE MODEL Instructor: Dr Aleksandar Milenkovic Lecture Notes Lecture 19 CPE/EE 421/521 Microcomputers 1 THE 68000 CPU HARDWARE MODEL Chapter 4 68000 interface

More information

Embedded Applications. COMP595EA Lecture03 Hardware Architecture

Embedded Applications. COMP595EA Lecture03 Hardware Architecture Embedded Applications COMP595EA Lecture03 Hardware Architecture Microcontroller vs Microprocessor Microprocessor is a term used to describe all programmed computational devices. Microcontroller is a term

More information

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor 1 2 A microprocessor is a single, very-large-scale-integration (VLSI) chip that contains many digital circuits that perform arithmetic, logic, communication, and control functions. When a microprocessor

More information

Program Development. Chapter 5

Program Development. Chapter 5 Chapter 5 Program Development Expected Outcomes Distinguish between various codes in the programming language Explain the role of assembler and compiler Distinguish between different data types Use directive

More information

EC6504 MICROPROCESSOR AND MICROCONTROLLER

EC6504 MICROPROCESSOR AND MICROCONTROLLER UNIT I THE 8086 MICROPROCESSOR 1. What do you mean by Addressing modes? (May/June 2014) The different ways that a microprocessor can access data are referred to as addressing modes. 2. What is meant by

More information

We r e going to play Final (exam) Jeopardy! "Answers:" "Questions:" - 1 -

We r e going to play Final (exam) Jeopardy! Answers: Questions: - 1 - . (0 pts) We re going to play Final (exam) Jeopardy! Associate the following answers with the appropriate question. (You are given the "answers": Pick the "question" that goes best with each "answer".)

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

These three counters can be programmed for either binary or BCD count.

These three counters can be programmed for either binary or BCD count. S5 KTU 1 PROGRAMMABLE TIMER 8254/8253 The Intel 8253 and 8254 are Programmable Interval Timers (PTIs) designed for microprocessors to perform timing and counting functions using three 16-bit registers.

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1 Microcomputer Structure and Operation Chapter 5 A Microprocessor ( P) contains the controller, ALU and internal registers A Microcomputer ( C) contains a microprocessor, memory (RAM, ROM, etc), input and

More information

Interfacing a Hyper Terminal to the Flight 86 Kit

Interfacing a Hyper Terminal to the Flight 86 Kit Experiment 6 Interfacing a Hyper Terminal to the Flight 86 Kit Objective The aim of this lab experiment is to interface a Hyper Terminal to 8086 processor by programming the 8251 USART. Equipment Flight

More information

INTEGRATED CIRCUITS ABSTRACT

INTEGRATED CIRCUITS ABSTRACT INTEGRATED CIRCUITS ABSTRACT Brief set-up routine for using the automatic turn-around feature for transceiver control when using the RS-485 (sometimes called 9-bit or multi-drop mode) protocol. An interrupt

More information

SRM ARTS AND SCIENCE COLLEGE SRM NAGAR, KATTANKULATHUR

SRM ARTS AND SCIENCE COLLEGE SRM NAGAR, KATTANKULATHUR SRM ARTS AND SCIENCE COLLEGE SRM NAGAR, KATTANKULATHUR 603203 DEPARTMENT OF COMPUTER SCIENCE & APPLICATIONS LESSON PLAN (207-208) Course / Branch : B.Sc CS Total Hours : 50 Subject Name : Digital Electronics

More information

8085 Microprocessor Architecture and Memory Interfacing. Microprocessor and Microcontroller Interfacing

8085 Microprocessor Architecture and Memory Interfacing. Microprocessor and Microcontroller Interfacing 8085 Microprocessor Architecture and Memory 1 Points to be Discussed 8085 Microprocessor 8085 Microprocessor (CPU) Block Diagram Control & Status Signals Interrupt Signals 8085 Microprocessor Signal Flow

More information

1a)[2] Connect the devices on the left column to items on the right column. Keypad 4x4

1a)[2] Connect the devices on the left column to items on the right column. Keypad 4x4 2 nd Midterm ECE372 Fall 2005 This exam is: Closed book, lecture notes, calculator and internet Materials needed are in the appendix Exam duration is 75 minutes. Question Number) [Weight] I suggest that

More information

One and a half hours. Section A is COMPULSORY

One and a half hours. Section A is COMPULSORY One and a half hours Section A is COMPULSORY An additional answersheet is provided for Question 4. Please remember to complete the additional answersheet with your University ID number and attach it to

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

BHARATHIDASAN ENGINEERING COLLEGE. III Year / V Semester / EEE MICROPROCESSORS AND MICROCONTROLLERS (R-2013)

BHARATHIDASAN ENGINEERING COLLEGE. III Year / V Semester / EEE MICROPROCESSORS AND MICROCONTROLLERS (R-2013) BHARATHIDASAN ENGINEERING COLLEGE III Year / V Semester / EEE MICROPROCESSORS AND MICROCONTROLLERS (R-2013) FREQUENTLY ASKED QUESTIONS IN UNIVERSITY EXAMINATION PART A UNIT 1-8085 PROCESSOR 1. Draw the

More information

EE251: Thursday November 30

EE251: Thursday November 30 EE251: Thursday November 30 Course Evaluation Forms-fill out Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework due next Tuesday,

More information

Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of

Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of this interface, which is widely used for serial communications.

More information

Digital Input and Output

Digital Input and Output Digital Input and Output Topics: Parallel Digital I/O Simple Input (example) Parallel I/O I/O Scheduling Techniques Programmed Interrupt Driven Direct Memory Access Serial I/O Asynchronous Synchronous

More information

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks)

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks) QUESTION BANK EE 6502 / Microprocessor and Microcontroller Unit I- 8085 Processor PART-A (2-Marks) YEAR/SEM : III/V 1. What is meant by Level triggered interrupt? Which are the interrupts in 8085 level

More information

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM Page 1/15 Exam 2 Instructions: Turn off cell phones beepers and other noise making devices. BEAT UCONN! Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 153) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 153) Pass Marks: 24 Prepared By ASCOL CSIT 2070 Batch Institute of Science and Technology 2065 Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 153) Pass

More information

Microprocessors and Interfacng. Question bank

Microprocessors and Interfacng. Question bank Microprocessors & Interfacing 8086 ARCHITECTURE: UNIT-I Functional Diagram, Register Organization, Addressing modes, Instructions, Functional schematic, Minimum and Maximum mode operations of 8086, 8086

More information

8051 Serial Communication

8051 Serial Communication 8051 Serial Communication Basics of serial communication Parallel: transfers eight bits of data simultaneously over eight data lines expensive - short distance fast Serial : one bit at a time is transferred

More information

Department of Computer Science and Engineering

Department of Computer Science and Engineering Department of Computer Science and Engineering QUESTION BANK Subcode/Subject : CS1304 Microprocessor & Microcontroller Year/Sem: III / V UNIT I THE 8085 MICROPROCESSOR PART A ( 2Marks) 1. How AD0-AD7 are

More information

FACTFILE: GCE DIGITAL TECHNOLOGY

FACTFILE: GCE DIGITAL TECHNOLOGY FACTFILE: GCE DIGITAL TECHNOLOGY AS2: FUNDAMENTALS OF DIGITAL TECHNOLOGY Hardware and Software Architecture 1 Learning Outcomes Students should be able to: describe the internal components of a computer

More information

EXPERIMENT #7 PARALLEL INTERFACING USING THE PERIPHERAL INTERFACE ADAPTER (PIA)

EXPERIMENT #7 PARALLEL INTERFACING USING THE PERIPHERAL INTERFACE ADAPTER (PIA) EXPERIMENT #7 PARALLEL INTERFACING USING THE PERIPHERAL INTERFACE ADAPTER (PIA) 1.0 Procedure The purpose of this experiment is to introduce the student to the following topics: the Peripheral Interface

More information

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver )

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver ) Topic 3 ARM Cortex M3(i) Memory Management and Access Department of Electronics Academic Year 14/15 (ver 25-10-2014) Index 3.1. Memory maps 3.2. Memory expansion 3.3. Memory management & Data alignment

More information

ELECTRICAL ENGINEERING

ELECTRICAL ENGINEERING Serial : 1. JP_EE_Microprocessor_130618 CLASS TEST Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web: E-mail: info@madeeasy.in Ph: 011-45124612 ELECTRICAL ENGINEERING

More information

Parallel Interfacing

Parallel Interfacing Chapter 12 Parallel Interfacing Expected Outcomes Identify the internal registers of MC6821 Design the hardware interface for various I/O devices using MC6821 Develop and write codes using MC6821 Parallel

More information

MLR INSTITUTE OF TECHNOLOGY DUNDIGAL , HYDERABAD

MLR INSTITUTE OF TECHNOLOGY DUNDIGAL , HYDERABAD Name Code : 56012 Class Branch MR INSTITUTE OF TECHNOOGY DUNDIGA - 500 043, HYDERABAD EECTRONICS AND COMMUNICATION ENGINEERING ASSIGNMENT QUESTIONS : MICROPROCESSORS AND MICROCONTROERS : III - B. Tech

More information

CPU ARCHITECTURE. QUESTION 1 Explain how the width of the data bus and system clock speed affect the performance of a computer system.

CPU ARCHITECTURE. QUESTION 1 Explain how the width of the data bus and system clock speed affect the performance of a computer system. CPU ARCHITECTURE QUESTION 1 Explain how the width of the data bus and system clock speed affect the performance of a computer system. ANSWER 1 Data Bus Width the width of the data bus determines the number

More information

MPC860 Interface with Generic MC68000 Bus

MPC860 Interface with Generic MC68000 Bus MPC860 Interface with Generic MC68000 Bus The main point of this document is to demonstrate an interface between an MPC860 and a generic MC68000 peripheral. It should be noted that this interface only

More information

Summer 2003 Lecture 1 06/09/03

Summer 2003 Lecture 1 06/09/03 Summer 2003 Lecture 1 06/09/03 General Overview of Class: This class is an introduction to the low level operation of microprocessor-based computer systems. We will cover the operation of the Central Processor

More information

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck!

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck! Page 1/13 Exam 2 Relax! Go Gators! Good luck! First Name Instructions: Turn off all cell phones and other noise making devices and put away all electronics. Show all work on the front of the test papers.

More information

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS UNIT I INTRODUCTION TO 8085 8085 Microprocessor - Architecture and its operation, Concept of instruction execution and timing diagrams, fundamentals of

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

Basic Concepts. Task One: The Basic Latch. Laboratory Nine Latches, RAM & Android Architecture

Basic Concepts. Task One: The Basic Latch. Laboratory Nine Latches, RAM & Android Architecture Laboratory Nine Latches, RAM & Android Architecture Basic Concepts 1. The most basic element of binary storage is the latch, consisting of 2 cross-coupled NAND (or NOR) gates. 2. The D-latch with Enable

More information

Exam Computer Systems/Computer Architecture and Organisation Bachelor 2 nd year, EE and CS, EWI

Exam Computer Systems/Computer Architecture and Organisation Bachelor 2 nd year, EE and CS, EWI Exam Computer Systems/Computer Architecture and Organisation Bachelor 2 nd year, EE and CS, EWI Module/course code: Computer Systems 201400210(CS) / 201400217(EE) Date: 30 September 2016 Time: 13:45-15:30

More information

Computer Architecture 5.1. Computer Architecture. 5.2 Vector Address: Interrupt sources (IS) such as I/O, Timer 5.3. Computer Architecture

Computer Architecture 5.1. Computer Architecture. 5.2 Vector Address: Interrupt sources (IS) such as I/O, Timer 5.3. Computer Architecture License: http://creativecommons.org/licenses/by-nc-nd/3./ Hardware interrupt: 5. If in an eternal device (for eample I/O interface) a predefined event occurs this device issues an interrupt request to

More information

Go Gators! Relax! May the Schwartz be with you!

Go Gators! Relax! May the Schwartz be with you! Page 1/12 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

To Interface The 8085 Microprocessor

To Interface The 8085 Microprocessor To Interface The 8085 Microprocessor A microprocessor has to be interfaced with various peripherals to perform various functions. Let's discuss about the Interfacing techniques in detail. Introduction

More information

DE Solution Set QP Code : 00904

DE Solution Set QP Code : 00904 DE Solution Set QP Code : 00904 1. Attempt any three of the following: 15 a. Define digital signal. (1M) With respect to digital signal explain the terms digits and bits.(2m) Also discuss active high and

More information

a16450 Features General Description Universal Asynchronous Receiver/Transmitter

a16450 Features General Description Universal Asynchronous Receiver/Transmitter a16450 Universal Asynchronous Receiver/Transmitter September 1996, ver. 1 Data Sheet Features a16450 MegaCore function implementing a universal asynchronous receiver/transmitter (UART) Optimized for FLEX

More information

Introduction to the syshsi Block ispxpga and ispgdx2

Introduction to the syshsi Block ispxpga and ispgdx2 April 2003 Introduction Introduction to the syshsi Block ispxpga and ispgdx2 Technical Note Embedding clocks into serial data streams is a popular technique in high-speed data communications systems applications.

More information

MICROCONTROLLER AND PLC LAB-436 SEMESTER-5

MICROCONTROLLER AND PLC LAB-436 SEMESTER-5 MICROCONTROLLER AND PLC LAB-436 SEMESTER-5 Exp:1 STUDY OF MICROCONTROLLER 8051 To study the microcontroller and familiarize the 8051microcontroller kit Theory:- A Microcontroller consists of a powerful

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING. BEng(Hons) Electrical and Electronics Engineering SEMESTER 1 EXAMINATION 2016/2017

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING. BEng(Hons) Electrical and Electronics Engineering SEMESTER 1 EXAMINATION 2016/2017 TW34 UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BEng(Hons) Electrical and Electronics Engineering SEMESTER 1 EXAMINATION 2016/2017 INTERMEDIATE EMBEDDED SYSTEMS MODULE NO: EEE5004 Date: Thursday 12 January

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

GIRLS SECONDARY, L-IMRIEĦEL HALF YEARLY EXAMINATIONS 2015/2016

GIRLS SECONDARY, L-IMRIEĦEL HALF YEARLY EXAMINATIONS 2015/2016 GIRLS SECONDARY, L-IMRIEĦEL HALF YEARLY EXAMINATIONS 2015/2016 FORM: 3 Computing Time: 1½ Hr. Name: Class: Instructions: 1. Answer all the questions in the space provided on this paper. 2. Calculators

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

CE161-4-AU UNIVERSITY OF ESSEX. Undergraduate Examinations 2014 DIGITAL SYSTEMS ARCHITECTURE. Time allowed: TWO hours

CE161-4-AU UNIVERSITY OF ESSEX. Undergraduate Examinations 2014 DIGITAL SYSTEMS ARCHITECTURE. Time allowed: TWO hours CE161-4-AU UNIVERSITY OF ESSEX Undergraduate Examinations 2014 DIGITAL SYSTEMS ARCHITECTURE Time allowed: TWO hours The following items are provided: Graph paper (available on invigilator s desk) Candidates

More information

A 3-SPEED STEPPER MOTOR

A 3-SPEED STEPPER MOTOR ECE 36 Projects; Stepper Motor 1 of 5 A 3-SPEED STEPPER MOTOR 1. Design a microprocessing system to implement a 3-speed stepper motor. Your design is constrained to use the parts shown in Fig. 1 and described

More information

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications Embedded TCP/IP 10/100-BaseT Network Module Features 16-bit Microcontroller with Enhanced Flash program memory and static RAM data memory On board 10/100Mbps Ethernet controller, and RJ45 jack for network

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY QUESTION BANK Academic Year 2018 19 III SEMESTER CS8351-DIGITAL PRINCIPLES AND SYSTEM DESIGN Regulation

More information

Interfacing to the Motorola MCF5307 Microprocessor

Interfacing to the Motorola MCF5307 Microprocessor ENERGY SAVING Color Graphics LCD/CRT Controller Interfacing to the Motorola MCF5307 Microprocessor Document Number: X00A-G-002-03 Copyright 1998 Seiko Epson Corp. All rights reserved. The information in

More information

Freescale Semiconductor, I

Freescale Semiconductor, I MOTOROLA SEMICONDUCTOR TECHNICAL INFORMATION nc. Order this document by MC68306/D MC68306 Product Brief Integrated EC000 Processor The MC68306 is an integrated processor containing a 68EC000 processor

More information

BEng (Hons.) Telecommunications. BSc (Hons.) Computer Science with Network Security

BEng (Hons.) Telecommunications. BSc (Hons.) Computer Science with Network Security BEng (Hons.) Telecommunications BSc (Hons.) Computer Science with Network Security Cohorts: BTEL/15B/FT BCNS/16B/FT Examinations for 2016-2017 / Semester 2 Resit Examinations for BTEL/13B/FT & BTEL/15B/FT

More information

ericssonz LBI-38616B MAINTENANCE MANUAL FOR MTD TM SERIES AND DATA RADIO LOGIC BOARD 19D902151G3 DESCRIPTION CIRCUIT ANALYSIS TABLE OF CONTENTS

ericssonz LBI-38616B MAINTENANCE MANUAL FOR MTD TM SERIES AND DATA RADIO LOGIC BOARD 19D902151G3 DESCRIPTION CIRCUIT ANALYSIS TABLE OF CONTENTS MAINTENANCE MANUAL FOR MTD TM SERIES AND DATA RADIO LOGIC BOARD 19D902151G3 TABLE OF CONTENTS Page DESCRIPTION............................................. Front Cover CIRCUIT ANALYSIS..........................................

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

GUJARAT TECHNOLOGICAL UNIVERSITY

GUJARAT TECHNOLOGICAL UNIVERSITY GUJARAT TECHNOLOGICAL UNIVERSITY INSTRUMENTATION & CONTROL ENGINEERING (17) MICROCONTROLLER & INTERFACING (IC) SUBJECT CODE: 21517 B.E. 5 th SEMESTER Type of course: Core Engineering Prerequisite: 1. Fundamental

More information

ZONAL EDUCATION OFFICE - JAFFNA

ZONAL EDUCATION OFFICE - JAFFNA ZONAL EDUCATION OFFICE - JAFFNA SECOND TERM EXAMINATION - 2014 Information and Communication Technology Grade 10 Time : 3 Hours Answer all the questions Part I 01. Which of the following is correct chronological

More information

1-2 Chapter 1: The Beboputer Microcomputer

1-2 Chapter 1: The Beboputer Microcomputer 1-2 Chapter 1: The Beboputer Microcomputer The Beboputer microcomputer In its broadest sense, a computer is a device that can accept information from the outside world, process that information using logical

More information

FSM Design Problem (10 points)

FSM Design Problem (10 points) Problem FSM Design Problem (5 points) Problem 2 FSM Design Problem ( points). In this problem, you will design an FSM which takes a synchronized serial input (presented LSB first) and outputs a serial

More information

COMP2121: Microprocessors and Interfacing

COMP2121: Microprocessors and Interfacing COMP2121: Microprocessors and Interfacing Lecture 25: Serial Input/Output (II) Overview USART (Universal Synchronous and Asynchronous serial Receiver and Transmitter) in AVR http://www.cse.unsw.edu.au/~cs2121

More information

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?!

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?! University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Memory Let s Name Some Groups of Bits I need your help. The computer we re going

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer Lecture 2 Microcomputer Organization: As discussed in previous lecture microprocessor is a central processing unit (CPU) with its related timing functions on a single chip. A microprocessor combined with

More information