Marcel Jacomet Roger Walti Lukas Winzenried Jaime Perez Martin Gysel. and verication of the FPGAs.

Size: px
Start display at page:

Download "Marcel Jacomet Roger Walti Lukas Winzenried Jaime Perez Martin Gysel. and verication of the FPGAs."

Transcription

1 : A Low Cost Rapid Prototyping and Test System f ASICs and FPGAs Marcel Jacomet Roger Walti Lukas Winzenried Jaime Perez Martin Gysel Biel School of Engineering, MicroLab{I3S, CH-2501 Biel, Switzerland Marcel.Jacomet@isbiel.ch Abstract The test bench methodology helps the design engineer to structure the simulation of his circuit. As showed in this paper, the test bench methodology can further be developed in der to eciently reuse simulation stimuli and f the real device under test. As FPGAs are very often used to prototype an ASIC design, an easy switch between simulation and real hardware test is necessary to establish a rapid prototyping design and test environment. Our system closes the gap between the simulation and the test environment with a low cost and easy to use computer{aided{test environment. 1. Introduction The technological evolution in microelectronics has lead to a permanent raise in complexity of VLSI systems integrated on high density chips. Since development and test time should not grow linearly with the complexity of VLSI chips new design and test methods are needed. At the microelectronics labaty of the Interdisciplinary Institute of Integrated Systems (MicroLab{I3S) a modern test bench design methodology [1,3] is used to eciently design VLSI circuits f research and industry projects. The following steps can be identied: step 1: Digital systems are designed using a technology independent hardware description languages like VHDL Verilog{HDL. The digital system is then simulated using the test bench methodology. step 2: The HDL description is synthesized into a logical fmat f recongurable FPGA chips. The FPGA chips are veried in real time on the low Further infmation about can be found on: cost rapid prototyping test system using the already dened test bench. step 3: The HDL description is synthesized into the target ASIC technology. The ASIC prototype chips are tested on the environment with the same test bench procedure as used f simulation and verication of the FPGAs. If the objective is to design an FPGA, then the rst two steps have to be perfmed. If the nal goal is to get an ASIC a masked programmable FPGA, step 3 has to be added. Synthesizing an FPGA in the ASIC design ow, described in intermediate step 2, has several advantages. This method allows to check f success of the design{f{testability atamuch earlier stage. Also specication errs are discovered befe producing the ASIC. This does lead to a much improved success rate f a crect design on the rst attempt. Thus the presented design methodology is a consequent implementation of the state{of{the art design{f{test approach. The key element of this design methodology is the system with the universal test adapter f dierent devices under test () like our FPGAs ASICs. VHDL CAD design tool Verilog-HDL CAD design tool Verilog-HDL monit VHDL monit CAT- Tool (server-client) HP16500 test machine low cost test machine Figure 1. CAT- tool acts as an interface between CAD design tools and test machines.

2 2. System Description The Computer{Aided{Test software CAT{ acts as an interface between the CAD environments and the test machines (see Fig. 1). The CAT{ software is implemented as client server conguration, which allows the suppt of multiple clients and test{ machines simultaneously. Since the CAT{ software is written in the platfm independent Java language, test sessions can even be controlled via internet access CAT- Tool Simulation results from the CAD environments are converted to test s f a specied test machine. The test results are taken back into the CAD simulation environment and compared against the simulation. Signal to physical pin mapping have tobe dened with the CAT{ tool. Currently drivers f the low{cost test machine and the HP16500 generat and logic analyzer are available. The CAT- tool can also be used as stand alone test development tool, without using any CAD environments. In this conguration the test program can be developed hierarchically with the CAT{ tool by dening test s (see Fig. 2) and expected s, test sequences and nally the test programm itself. The test machines can directly be controlled by the CAT- tool. In stand-alone conguration the test results can be visualized graphically with the CAT- tool Low Cost Test Machine The low{cost hardware test machine (Fig. 3) is able to generate test vects and s with a resolution of 100ns. Up to six dierent clock signals can be generated with the hardware. The test machine has 240 congurable signal input, output, bidirectional and clock pins (see Fig. 4). A library of universal test adapters allows the test of ASICs and FPGAs with standard device sockets. User specic test adapter PCBs can easily be developed. Denition of user specic test adapters can be done with the CAT- tool. Figure 3. Prototype of test machine. Figure 2. Test tool. definition in CAT- Using the HP16500 generat and logic analyzer an improved resolution of 4ns is achievable Monit The is designed as a VHDL and a Verilog-HDL library package. Fig. 5 illustrates a sample VHDL test bench consisting of a simulation model call and a call. Every input, output bidirectional signal of the has to be dened with a procedure call like MinitInpSignal, MonitOutSignal MonitBidSignal. Clock signals are generated by calling the MonitClock procedure. A simple HDL variable is used to switch between the

3 use std.textio.all; library IEEE; use IEEE.std_logic_1164.all; library ; use.monit_2_0.all; entity TestBench is end TestBench; Architecture sample of TestBench is signal data : std_ulogic_vect(7 downto 0); signal clk,load,dir : std_ulogic; signal tmp_bus : std_ulogic_vect(7 downto 0); signal tmp_adr : std_ulogic_vect(7 downto 0); signal adr,bus : std_logic_vect(7 downto 0); Figure 4. Definition of input, output and bidirectional pins with the CAT- tool. simulation of the circuit model and the comparison between this simulation model with the test from the real Clock Cycle The input s are applied at the ning of each test cycle. The of the output s are d at a predened time in the test cycle. A sample clock signal within a test cycle is shown in Fig Test Bench Methodology Fig 7 shows a classical test bench used to simulate and verify a circuit model described with a hardware description language with a schematic. The prede- ned s test s and simulation s in a le during regular simulation. The is activated by a VHDL Verilog{ HDL library call. Once the digital system is designed and veried, synthesis into an FPGA an ASIC target technology can be perfmed. In der to guarantee a rapid prototyping, reuse of the simulation test bench is mandaty. The environment uses the same test bench as already developed in the HDL simulation and verication phase. Test s and simulation results d by the during simulation are used to stimulate the real device{under{test. Fig. 8 illustrates the close relationship between the CAT{ software and a test-machine. component FPGA_circuit pt(adrin: in std_ulogic_vect(7 downto 0); clk,load,dir : in std_ulogic; adr,bus : out std_ulogic_vect(7 downto 0); end component; : FPGA_circuit pt map(data, lock, load, tmp_adr, tmp_bus, dir); adr <= std_logic_vect(tmp_adr); bus <= std_logic_vect(tmp_bus); -- Test Bench Monit TB: Block _monit: process file ofile: text is out "_.vec"; file ifile: text is in "_.res"; -- definition of global variable variable dutv : datarec; -- variable to mask signals f comparison constant mask: boolean:=false; MonitClock(ofile,ifile,dutv,simulate, "clk",clk,'0',20 ns,40 ns,80 ns,100 ns,10 ns); MonitInpSignal(ofile,dutv,"data",data); MonitInpSignal(ofile,dutv,"load",load); MonitOutSignal(ofile,dutv,"adr",adr,mask); MonitBidSignal(ofile,dutv,"bus",bus,dir,mask); end process; end block; -- Test Bench Signal Stimuli end sample; Figure 5. Sample test bench file f simulation with VHDL procedure calls.

4 clock1 stimuli application test result le into the users CAD environment in der to perfm the comparison between simulation and obtained with a test machine. Fig. 9 illustrates the test bench used to read back the test results into the CAD environment. test cycle Figure 6. Typical test cycle with clock signal. manualy test bench (CAD environment) circuit model verification test bench (CAD environment) circuit model test file and comparison with real Figure 9. CAD environment interfaces to testmachine results. simulation stimuli and file Figure 7. Test bench f simulation with additional to stimuli and vects. Design engineers are used to wk with CAD environments. Thus design and test procedures are faster if no additional tools have to be introduced to test the. We reuse the test bench developed f circuit simulation f the real tests and result comparisons. Therefe the circuit model is simulated once again and the simulation results are immediately compared with the s in the CAD environment. In der to perfm the comparison of the simulation data with the real chip test data, a simple switch of the monit has to be changed from simulation to comparison. The switch causes the to load the simulation stimuli and file CAT- test-machine ASIC FPGA verification Figure 8. CAT- software interface to test-machine. 4. Related Wk There exist numerous FPGA development boards, but they hardly can be compared with a rapid prototyping system with a tight link to a HDL simulat as presented in this paper. Alowcost test system called MacTester was recently presented in [2]. The MacTester has on-line testing capabilities and is tightly connected to Mac computers with the LogicWks simulation software. The disadvantage of the MacTester is its 3rd party tool and computer platfm dependency and especially the lack of the test bench methodology implemented in the test procedure. 5. Results and Conclusions Surveys of HDL users have indicated that the of HDL test benches typically consumes 35% of the entire front{end ASIC design cycle. It is clear that the reuse of the test bench f the test of ASICs and FPGAs would signicantly reduce the costs of HDL based designs. The presented system achieves the primary goal to merge design, rapid prototyping and test of ASICs and FPGAs. Due to the client server based principle of the CAT{ system, student class exercises on design{f{test can easily be executed. Using an application specic test adapter PCB, one even several integrated circuits can be grouped to fm a. In such a conguration the target integrated circuit can be tested in its application environment similar to a bread{board design. The CAT{ software can either be used as a stand alone (see Fig. 10) test development tool as an easy to

5 Figure 10. In stand-alone configuration the test results of can be viewed in the CAT- tool. handle interface between CAD design tools and test machines. Due to the principle described above, all 3rd party CAD simulation tools using the VHDL Verilog{HDL description language are suppted by the CAT{ tool. As the CAT{ tool is written in the Java language, the system represents a highly platfm and CAD vend independent rapid prototyping and test environment. References [1] M. A. Breuer and A. D. Friedman. Diagnosis and Reliable Design of Digital Systems. IEEE Computer Society Press, Nth-Holland, [2] N. R. McKenzie, C. Ebeling, L. McMurchie, and G. Briello. Experiences with the mactester in computer science and engineering education. IEEE Trans. on Education, vol. 40:12{21, [3] N. N. E. Weste and K. Eshraghian. Principles of CMOS VLSI Design. Addison-Wesley Publishing Company, [4] T. W. Williams and K. P. Parker. Design f testability { a survey. InProceedings of the IEEE, volume Vol. 71, pages 98 { 112, January 1983.

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

The Optimization of a Design Using VHDL Concepts

The Optimization of a Design Using VHDL Concepts The Optimization of a Design Using VHDL Concepts Iuliana CHIUCHISAN 1, Alin Dan POTORAC 2 "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava 1 iuliap@eed.usv.ro, 2 alinp@eed.usv.ro

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline Chapter 1 Introduction to VHDL VHDL VHDL - Flaxer Eli Ch 1-1 Course Objectives Affected Write functionally correct and well-documented VHDL code, intended for either simulation or synthesis, of any combinational

More information

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET INTRODUCTION TO VHDL Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET VHDL Resources Other Sources manufacturers web pages http://www.xilinx.com http://www.altera.com

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Hardware Synthesis. References

Hardware Synthesis. References Hardware Synthesis MidiaReshadi CE Department Science and research branch of Islamic Azad University Email: ce.srbiau@gmail.com 1 References 2 1 Chapter 1 Digital Design Using VHDL and PLDs 3 Some Definitions

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Objective The objective of this lab assignment is to introduce and use a methodology for designing

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

LSN 1 Digital Design Flow for PLDs

LSN 1 Digital Design Flow for PLDs LSN 1 Digital Design Flow for PLDs ECT357 Microprocessors I Department of Engineering Technology LSN 1 Programmable Logic Devices Functionless devices in base form Require programming to operate The logic

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory by Dr. Choon Kim CSE Department UCSD 1 Welcome to CSE140L! 2 3-way Light Controller, 2-1 MUX, Majority Detector, 7- seg Display, Binary-to- Decimal converter.

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

AMRM Prototype Board Design and Implementation. Prashant Arora Dan Nicolaescu Rajesh Satapathy. Alexander Veidenbaum

AMRM Prototype Board Design and Implementation. Prashant Arora Dan Nicolaescu Rajesh Satapathy. Alexander Veidenbaum AMRM Prototype Board Design and Implementation Prashant Arora Dan Nicolaescu Rajesh Satapathy Alexander Veidenbaum Department of Information and Computer Science 444 Computer Science, Building 302 University

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1 DIGITAL LOGIC WITH VHDL (Fall 23) Unit DESIGN FLOW DATA TYPES LOGIC GATES WITH VHDL TESTBENCH GENERATION DESIGN FLOW Design Entry: We specify the logic circuit using a Hardware Description Language (e.g.,

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Ahmed Abu-Hajar, Ph.D. abuhajar@digitavid.net Digitavid, Inc San Jose, CA Session One Outline Introducing VHDL

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software 01 1 Electronic Design Automation (EDA) 01 1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica A New Register Allocation Scheme for Low Power Data Format Converters Kala Srivatsan, Chaitali Chakrabarti Lori E. Lucke Department of Electrical Engineering Minnetronix, Inc. Arizona State University

More information

Andreas Kuehlmann. validates properties conrmed on one (preferably abstract) synthesized by the Cathedral system with the original. input specication.

Andreas Kuehlmann. validates properties conrmed on one (preferably abstract) synthesized by the Cathedral system with the original. input specication. Formal Verication of a PowerPC TM Microprocessor David P. Appenzeller IBM Microelectronic Burlington Essex Junction, VT, U.S.A. Andreas Kuehlmann IBM Thomas J. Watson Research Center Yorktown Heights,

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VLSI Design Basics Garrett S. Rose Spring 2016 Recap Brief overview of VHDL Behavioral VHDL Structural VHDL Simple examples with VHDL Some VHDL

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient ISSN (Online) : 2278-1021 Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient PUSHPALATHA CHOPPA 1, B.N. SRINIVASA RAO 2 PG Scholar (VLSI Design), Department of ECE, Avanthi

More information

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM Ajay Singh MIT, Modinagar U.P (India) ABSTRACT In this paper we discuss about the co-simulation of generic converter using MATLAB

More information

Digital System Design

Digital System Design Digital System Design Analog time varying signals that can take on any value across a continuous range of voltage, current or other metric Digital signals are modeled with two states, 0 or 1 underneath

More information

Case Study on DiaHDL: A Web-based Electronic Design Automation Tool for Education Purpose

Case Study on DiaHDL: A Web-based Electronic Design Automation Tool for Education Purpose Case Study on DiaHDL: A Web-based Electronic Design Automation Tool for Education Purpose Muhammad Shoaib Iqbal Ansari, Thomas Schumann Faculty of Electrical Engineering h da University of Applied Sciences

More information

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier CHAPTER 3 METHODOLOGY 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier The design analysis starts with the analysis of the elementary algorithm for multiplication by

More information

Effects of Technology Mapping on Fault Detection Coverage in Reprogrammable FPGAs

Effects of Technology Mapping on Fault Detection Coverage in Reprogrammable FPGAs Syracuse University SURFACE Electrical Engineering and Computer Science College of Engineering and Computer Science 1995 Effects of Technology Mapping on Fault Detection Coverage in Reprogrammable FPGAs

More information

In Proceedings of Design of Integrated Circuits and Systems Conference (DCIS), November 1996

In Proceedings of Design of Integrated Circuits and Systems Conference (DCIS), November 1996 In Proceedings of Design of Integrated Circuits and Systems Conference (DCIS), November 1996 Register Transfer Level VHDL Block Generation Paulo Flores Horacio Neto INESC/IST Instituto de Engenharia de

More information

Accelerate FPGA Prototyping with

Accelerate FPGA Prototyping with Accelerate FPGA Prototyping with MATLAB and Simulink September 21 st 2010 Stephan van Beek Senior Application Engineer 1 From Idea to Implementation DESIGN Algorithm Development MATLAB Simulink Stateflow

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

PREFACE. Changes to the SOPC Edition

PREFACE. Changes to the SOPC Edition PREFACE Changes to the SOPC Edition Rapid Prototyping of Digital Systems provides an exciting and challenging laboratory component for undergraduate digital logic and computer design courses using FPGAs

More information

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents VHDL Testbench Tutorial 1 Contents 1 VHDL Testbench 2 Test Bench Syntax 3 Testbench Example: VHDL Code for Up Down Binary Counter 4 VHDL Testbench code for up down binary counter 5 Testbench Waveform for

More information

Glossary. ATPG -Automatic Test Pattern Generation. BIST- Built-In Self Test CBA- Cell Based Array

Glossary. ATPG -Automatic Test Pattern Generation. BIST- Built-In Self Test CBA- Cell Based Array Glossary ATPG -Automatic Test Pattern Generation BFM - Bus Functional Model BIST- Built-In Self Test CBA- Cell Based Array FSM - Finite State Machine HDL- Hardware Description Language ISA (ISS) - Instruction

More information

A Brief Introduction to Verilog Hardware Definition Language (HDL)

A Brief Introduction to Verilog Hardware Definition Language (HDL) www.realdigital.org A Brief Introduction to Verilog Hardware Definition Language (HDL) Forward Verilog is a Hardware Description language (HDL) that is used to define the structure and/or behavior of digital

More information

תכן חומרה בשפת VERILOG הפקולטה להנדסה

תכן חומרה בשפת VERILOG הפקולטה להנדסה תכן חומרה בשפת VERILOG סמסטר ב' תשע"ג משה דורון מרצה: מתרגלים: אריאל בורג, חג'ג' חן הפקולטה להנדסה 1 Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Lorenz Kolb, Missing Link Electronics

Lorenz Kolb, Missing Link Electronics Lorenz Kolb, Missing Link Electronics Testkonzepte für FPGA/ASIC-Entwicklung nach 50 Jahren Moore s Law We are a Silicon Valley based technology company with offices in Germany. We are partner of leading

More information

Real-Time Debugging of Digital Integrated Circuits

Real-Time Debugging of Digital Integrated Circuits Proc. Design, Automation and Test in Europe Conference, User Forum, Paris, March 7-3,, 35-41 Real-Time ging of Digital Integrated Circuits Jürgen Haufe 1, Christoph Fritsch, Matthias Gulbins 1, Volker

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Towards Verifying VHDL Descriptions of Processors.

Towards Verifying VHDL Descriptions of Processors. Towards Verifying VHDL Descriptions of Processors Laurent Arditi Helene Collavizza Universite de Nice { Sophia Antipolis, I3S, CNRS-URA 1376 arditi@unice.fr, helen@essi.fr Abstract We present a system

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

Abstract Most modern security standards and security applications are dened to be algorithm independent, that is, they allow a choice from a set of cr

Abstract Most modern security standards and security applications are dened to be algorithm independent, that is, they allow a choice from a set of cr High Speed FPGA Architectures for the Data Encryption Standard by Jens-Peter Kaps A Thesis Submitted to the Faculty of the WORCESTER POLYTECHNIC INSTITUTE In partial fulllment of the requirements for the

More information

Automated Formal Verification of X Propagation with Respect to Testability Issues

Automated Formal Verification of X Propagation with Respect to Testability Issues Automated Formal Verification of X Propagation with Respect to Testability Issues Mehdi Dehbashi Daniel Tille Ulrike Pfannkuchen Stephan Eggersglüß Institute of Computer Science, University of Bremen,

More information

High Speed SPI Slave Implementation in FPGA using Verilog HDL

High Speed SPI Slave Implementation in FPGA using Verilog HDL High Speed SPI Slave Implementation in FPGA using Verilog HDL Mr. Akshay K. Shah Abstract SPI (Serial Peripheral Interface) is a synchronous serial communication interface for short distance communication.

More information

However, no results are published that indicate the applicability for cycle-accurate simulation purposes. The language RADL [12] is derived from earli

However, no results are published that indicate the applicability for cycle-accurate simulation purposes. The language RADL [12] is derived from earli Retargeting of Compiled Simulators for Digital Signal Processors Using a Machine Description Language Stefan Pees, Andreas Homann, Heinrich Meyr Integrated Signal Processing Systems, RWTH Aachen pees[homann,meyr]@ert.rwth-aachen.de

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

Functional Verification of Enhanced RISC Processor

Functional Verification of Enhanced RISC Processor Functional Verification of Enhanced RISC Processor SHANKER NILANGI 1 1 Assistant Professor, Dept of ECE, Bheemanna Khandre Institute of Technology, Bhalki, Karnataka, India s.nilangi@gmail.com 1 SOWMYA

More information

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design. 01-1 Electronic Design Automation (EDA) 01-1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Pinit Kumhom VLSI Laboratory Dept. of Electronic and Telecommunication Engineering (KMUTT) Faculty of Engineering King Mongkut s University

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester) Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering VL2001 Digital System Design using Verilog First Semester, 2015-16(Odd

More information

AL8253 Core Application Note

AL8253 Core Application Note AL8253 Core Application Note 6-15-2012 Table of Contents General Information... 3 Features... 3 Block Diagram... 3 Contents... 4 Behavioral... 4 Synthesizable... 4 Test Vectors... 4 Interface... 5 Implementation

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG Shivani Mehrotra 1, Nisha Charaya *2 1 M.Tech (ECE), 2 Assistant Professor, Amity University Gurgaon (Haryana), India Abstract: This

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Summary of FPGA & VHDL

Summary of FPGA & VHDL FYS4220/9220 Summary of FPGA & VHDL Lecture #6 Jan Kenneth Bekkeng, University of Oslo - Department of Physics 16.11.2011 Curriculum (VHDL & FPGA part) Curriculum (Syllabus) defined by: Lectures Lecture6:

More information

100-hour Design Cycle: A Test Case. keeping the specication close to the conceptualization. 2. Use of standard languages for input specications.

100-hour Design Cycle: A Test Case. keeping the specication close to the conceptualization. 2. Use of standard languages for input specications. 100-hour Design Cycle: A Test Case Daniel D. Gajski, Loganath Ramachandran, Peter Fung 3, Sanjiv Narayan 1 and Frank Vahid 2 University of California, Irvine, CA 3 Matsushita Electric Works, Research and

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Don t expect to be able to write and debug your code during the lab session.

Don t expect to be able to write and debug your code during the lab session. EECS150 Spring 2002 Lab 4 Verilog Simulation Mapping UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping

More information

INTERFACE SYNTHESIS. process A. process A1 variable MEM : intarray ;. process A2. process A1 variable MEM : intarray ; procedure send_ch1(...

INTERFACE SYNTHESIS. process A. process A1 variable MEM : intarray ;. process A2. process A1 variable MEM : intarray ; procedure send_ch1(... Protocol Generation for Communication Channels y Sanjiv Narayan Daniel D. Gajski Viewlogic Systems Inc. Dept. of Computer Science Marlboro, MA 01752 Univ. of California, Irvine, CA 92717 Abstract System-level

More information

Mahsa Vahidi and Alex Orailoglu. La Jolla CA of alternatives needs to be explored to obtain the

Mahsa Vahidi and Alex Orailoglu. La Jolla CA of alternatives needs to be explored to obtain the Metric-Based Transformations for Self Testable VLSI Designs with High Test Concurrency Mahsa Vahidi and Alex Orailoglu Department of Computer Science and Engineering University of California, San Diego

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information

Reset and Restart Cisco Unified IP Phones

Reset and Restart Cisco Unified IP Phones Infmation About Resetting and Restarting Phones, on page 1 Reset and Restart Phones, on page 2 Feature Infmation f Reset and Restart Phones, on page 8 Infmation About Resetting and Restarting Phones Differences

More information

TRAFFIC LIGHT CONTROLLER USING VHDL

TRAFFIC LIGHT CONTROLLER USING VHDL TRAFFIC LIGHT CONTROLLER USING VHDL Aamir Raza 1, Arun Kumar 2 and Ekta Chaudhary 3 1,2,3 B.Tech, 4 th yr, GIET GUNUPUR, RAYAGADA, PIN-765022 Abstract- Traffic light controller is a set of rules and instructions

More information

Reconfigurable Computing. Design and implementation. Chapter 4.1

Reconfigurable Computing. Design and implementation. Chapter 4.1 Reconfigurable Computing Design and implementation Chapter 4.1 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing In System Integration Reconfigurable

More information

CCE 3202 Advanced Digital System Design

CCE 3202 Advanced Digital System Design CCE 3202 Advanced Digital System Design Lab Exercise #2 Introduction You will use Xilinx Webpack v9.1 to allow the synthesis and creation of VHDLbased designs. This lab will outline the steps necessary

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.2.2: VHDL-1 Liang Liu liang.liu@eit.lth.se 1 Outline VHDL Background Basic VHDL Component An example FSM Design with VHDL Simulation & TestBench 2

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

Design of DMA Controller Using VHDL

Design of DMA Controller Using VHDL Design of DMA Controller Using VHDL Rashmi mishra 1, Rupal chauhan 2, Garima arora 3 1, 2 Department of Electronics & Communication BE (VII SEM) Takshshila Institute of Engineering & Technology, Jabalpur,

More information