Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Size: px
Start display at page:

Download "Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA"

Transcription

1 Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Iqbalur Rahman Rokon, Toufiq Rahman, and Ahsanuzzaman Abstract - In this paper, the design of AMBA processor interface and its hardware implementation are described. The interface between high-performance AMBA bus AHB and low performance AMBA bus APB is created in this work. Then two target devices register and Ram are connected to APB side to perform read/write operation through the designed interface commanding from AHB side. All the major signals of AHB and APB are used in this design. First, a block diagram is shown with some sub-blocks. Then a flow of interactions of sub-blocks is presented. After that, the flow of design of the testbench, AHB to APB interface, register, and RAM is shown starting from the top level. Next, hand-drawn waveforms of all the associated signals are given. Then comes the heart of the design the state machine. After that, simulation results are shown and in the end, conclusion is drawn discussing some areas of possible improvement in the future. Keywords AMBA Protocol, AMBA Processor Interface, FPGA, Verilog T I. INTRODUCTION HE Advanced Microcontroller Bus Architecture (AMBA) is a protocol that is used as an open standard, on-chip interconnect specification for the connection and management of functional blocks in a system-on-chip (SoC) [1]. AMBA assists the progress of right-first-time development of multiprocessor designs with large number of controllers & peripherals [2]. The Advanced Microcontroller Bus Architecture (AMBA) has the ability to re-use designs and here it means it has the ability to re-use IP. IP re-use in today s technology is an important factor in reducing the development costs and timescales for System-on-chip (SoC) [3]. AMBA is a standard interface specification that makes sure of the compatibility between IP components provided by different design teams or vendors. The world wide reception of AMBA specifications all over the semiconductor industry has driven a comprehensive market in third party IP products Mr. Iqbalur Rahman Rokon is with the department of Electrical Engineering and Computer Science, North South University, Dhaka 1213, Bangladesh e- mail: irahman@northsouth.edu Toufiq Rahman is a graduate student from the department of Electrical Engineering and Computer Science, North South University, Dhaka 1213, Bangladesh ullash_nsu@yahoo.com Ahsanuzzaman is a graduate student from the department of Electrical Engineering and Computer Science, North South University, Dhaka 1213, Bangladesh ahsanmars@hotmail.com and tools to support the development of AMBA based systems. There are three distinct buses defined within the AMBA specification - Advanced High Performance Bus (AHB), Advanced System Bus (ASB), and Advance Peripheral Bus (APB). This paper deals with AHB and APB. AHB: It is for high performance, high clock frequency system modules. The AHB works as the high-performance system backbone bus. AHB supports the efficient connection of processors, on-chip memory and off-chip external memory interfaces with low power peripheral macrocell functions. AHB is also specified to ensure ease of use in an efficient design flow using synthesis and automated test techniques [4]. APB: Advanced Peripheral Bus (APB): The AMBA APB is for low-power peripherals. APB is made perfect for minimum power consumption and reduced interface complexity to support peripheral functions. APB can be used in conjunction with any of the version of the system bus [4]. II. INTERFACE TOP BLOCK DIAGRAM Fig. 1 Block diagram A. State Machine This is a very vital block for the interface. It determines when different output signals will come in effect. Two most significant signal of this block is nextstate and currentstate both of which are 3 bit signals. To generate nextstate some other signals are needed like HWRITE, registered version of HWRITE (rghwrite), accept and currentstate as well. nextstate 194

2 and currentstate will be used as internal input signals in other blocks. IV. DESIGN FLOW B. Write Output Generator A DFF is used to implement this sub-block. It will take HCLK, HRESET and HWDATA as input and drive the output to PWDATA. Asynchronous reset is used here. C. Read Output Generator Another DFF is used to implement it. It will take HCLK, HRESET and PRDATA as input and drive the output to HRDATA. It uses asynchronous reset. D. Address Decoder This block the target device for the transfer. It compares the 4 most significant bits of HADDR and compares with some constants to determine whether RAM or register is intended for the transfer. A. Write Transfer Fig. 3 Design flow V. HAND-DRWAN TIMING DIAGRAMS E. APB Address and Control Output Generator This section is responsible for generating all other outputs in the APB side including PADDR, PWRITE, PENABLE. This section take input from state machine, AHB master side and some internal signals as well. F. AHB Transfer Output Generator This section is in the charge of generating transfer done output HREADYOUT and response output HRESP signals. HREADYOUT is generated basically from state machine and AHB inputs. HRESP is always set to 00 to show that the transfer has completed successfully. III. FLOW OF INTERACTIONS OF SUB-BLOCKS Fig. 4 Write transfer diagram Fig. 2 Interaction-flow of sub-blocks Fig. 4 Continued 195

3 B. Read Transfer Fig. 4 Continued Fig. 5 Continued VI. STATE MACHINE DETAILS Fig. 5 Read transfer diagram Fig. 6 State machine A. IDLE State During IDLE state, the APB bus signals and PWRITE transfer direction output are driven with the last values they had. PSEL xx and PENABLE signals are kept low. - HRESET = 0 when the system is initialized - READOK, WRITEOK or IDLE, when there are no peripheral transfers - READ for a read transfer when the AHB contains a valid read transfer request. - WWRITE for a write transfer when the AHB contains a valid write transfer request Fig. 5 Continued B. READ State 196

4 During this state the address is decoded and the relevant PSEL signal is driven high. Moreover, PWRITE is driven low and HADDR is driven onto PADDR. The READ state is entered from IDLE, READOK, WRITEOK or PNDWRITEOK during a valid read transfer. The next state will always be READOK. C. WWRITE State This state is required due to the pipelined structure of AHB transfers. It allows the AHB side of the write transfer to complete so that the write data becomes available on HWDATA. The APB write transfer is then started in the next clock cycle. This state is entered from IDLE, READOK or WRITEOK during a valid write transfer. The next state will be: - WRITE if there is no transfer request from the AHB side. - PNDWRITE if there is a valid transfer request from the AHB side. D. WRITE State During this state the address is decoded and the relevant PSEL signal is driven high. Moreover, PWRITE is driven high and HADDR is driven onto PADDR. - WWRITE when there is no further peripheral transfer - PNDWRITEOK when the currently pending peripheral transfer is a write and there is no further transfer - WRITEOK when there is no further peripheral transfer - PNDWRITEOK when there is one further peripheral write transfer E. PNDWRITE State During this state the address is decoded and driven onto PADDR, the relevant PSEL line is driven high, and PWRITE is driven high. - WWRITE when there is a further peripheral transfer to - PNDWRITEOK when the currently pending peripheral transfer is a write, and there is a further transfer The next state will always be PNDWRITEOK. F. READOK State During this state the PENABLE output is driven high The READOK state is always entered from READ. - READ when there is a further peripheral read transfer - WWRITE when there is a further peripheral write transfer - IDLE when there is no further peripheral transfer to G. WRITEOK State During this state the PENABLE output is driven high, This state is always entered from WRITE. - READ when there is a further peripheral read transfer - WWRITE when there is a further peripheral write transfer - IDLE when there is no further peripheral transfer to H. PNDWRITEOK State During this state the PENABLE output is driven high, - WRITE when there is a valid transfer request from the AHB side. - PNDWRITE. - READ when the pending transfer is a read. - WRITE when the pending transfer is a write and there is no further transfer - PNDWRITE when the pending transfer is a write and there is a further transfer 197

5 VII. SIMULATION ENVIRONMENT Fig. 7 Simulation environment It should be noted that two signals HRDATA and PRDATA are used in a different way in this paper. PRDATA is connected to both register and RAM. Due to the limitations of simulation softwares, PRDATA and HRDATA are not getting expected values with PRDATA connected to both register and RAM. That is why two signals are used for each of these read data bus signals PRDATA1 (for register), PRDATA2 (for RAM) instead of PRDATA & HRDATA1, HRDATA2 instead of HRDATA. Verilog HDL is used to implement the interface [5]. VIII. SIMULATION RESULTS and signals associated with AHB and APB, and learnt more in-depth about the verilog HDL coding. Still, there is some room for improvement in this paper. To name a few: burst transfer between AHB and APB are not shown here. The size of the data bus of AHB and APB signals and the size of RAM and register memory are same (32 bits). In future the authors are interested to develop the interface for burst transfers. Also, they want to use RAM and register with different memory size in comparison with AHB and APB data bus size. ACKNOWLEDGMENT At first the authors would want to thank the Almighty for giving them the strength and courage to begin and complete this paper. Then they would like to mention their parents who supported them with mental and financial support. They also convey their gratefulness to the Chairman of EECS Department Dr. Abdul Awal for helping them with his wise advice. They also thank all those people who helped them in any way regarding this paper and enriched them with different ideas and lot of support. REFERENCES [1] Advance Microcontroller Bus Architecture (AMBA) re [2] ARM. AMBA Open Specifications. [3] ARM Launches AMBA Compliance Program and AMBA Compliance Testbench -program-amba-compliance-testbench.html [4] AMBA Specification [5] Palnitkar, S. (2006). A Guide to Digital Design and Synthesis (2 nd ed). India: Dorling Kindersley Pvt. Ltd. Fig. 8 Simulation results Fig. 8 Continued IX. CONCLUSION This paper presents an efficient design of AMBA processor interface. The authors are really satisfied with it. They learnt a lot of things regarding basic AMBA bus, different features 198

Hardware Implementation of StrongARM Processor Interface Using Verilog and FPGA

Hardware Implementation of StrongARM Processor Interface Using Verilog and FPGA Hardware Implementation of StrongARM Processor Interface Using Verilog and FPGA Toufiq.Rahman, Rifat. Zaman, Syeda. Nowreen and Iqbalur. Rahman Abstract In this paper, the design of StrongARM processor

More information

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE Aparna Kharade 1 and V. Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India.

More information

AMBA 3 AHB Lite Bus Architecture

AMBA 3 AHB Lite Bus Architecture AMBA 3 AHB Lite Bus Architecture 1 Module Syllabus What is a Bus Bus Types ARM AMBA System Buses AMBA3 AHB-Lite Bus Bus Operation in General AHB Bus Components AHB Bus Signals AHB Bus Basic Timing AHB

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL iimplementation of AMBA AHB protocol for high capacity memory management using VHDL Varsha vishwarkama 1 Abhishek choubey 2 Arvind Sahu 3 Varshavishwakarma06@gmail.com abhishekchobey84@gmail.com sahuarvind28@gmail.com

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 4: Bit of assembly, Memory-mapped I/O, APB January 16, 2018 1 Admin HW2 Due Thursday. HW1 answers posted as

More information

Design And Implementation of Efficient FSM For AHB Master And Arbiter

Design And Implementation of Efficient FSM For AHB Master And Arbiter Design And Implementation of Efficient FSM For AHB Master And Arbiter K. Manikanta Sai Kishore, M.Tech Student, GITAM University, Hyderabad Mr. M. Naresh Kumar, M. Tech (JNTUK), Assistant Professor, GITAM

More information

Ref: AMBA Specification Rev. 2.0

Ref: AMBA Specification Rev. 2.0 AMBA Ref: AMBA Specification Rev. 2.0 1 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 2 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 3 BUS Brief In a

More information

AMBA AHB Bus Protocol Checker

AMBA AHB Bus Protocol Checker AMBA AHB Bus Protocol Checker 1 Sidhartha Velpula, student, ECE Department, KL University, India, 2 Vivek Obilineni, student, ECE Department, KL University, India 3 Syed Inthiyaz, Asst.Professor, ECE Department,

More information

Architecture of An AHB Compliant SDRAM Memory Controller

Architecture of An AHB Compliant SDRAM Memory Controller Architecture of An AHB Compliant SDRAM Memory Controller S. Lakshma Reddy Metch student, Department of Electronics and Communication Engineering CVSR College of Engineering, Hyderabad, Andhra Pradesh,

More information

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS Nikhil B. Gaikwad 1, Vijay N. Patil 2 1 P.G. Student, Electronics & Telecommunication Department, Pimpri Chinchwad College of Engineering, Pune,

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Lecture 4: Memory-Mapped I/O, Bus Architectures September 11, 2014 Slides developed in part by Mark Brehob & Prabal

More information

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp Design of High Speed AMBA APB Master Slave Burst Data Transfer for ARM Microcontroller Kottu Veeranna Babu 1, B. Naveen Kumar 2, B.V.Reddy 3 1 M.Tech Embedded Systems Student, Vikas College of Engineering

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

Bus Interfaces and Standards. Zeljko Zilic

Bus Interfaces and Standards. Zeljko Zilic Bus Interfaces and Standards Zeljko Zilic Overview Principles of Digital System Interconnect Modern bus Standards: PCI, AMBA, USB Scalable Interconnect: Infiniband Intellectual Property (IP) Reuse Reusable

More information

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction. Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of an Efficient

More information

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved 1 APB4 GPIO Datasheet 2 Introduction The APB4 GPIO Core is fully parameterised core designed to provide a userdefined number of general purpose, bidirectional IO to a design. The IO are accessible via

More information

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved 1 AHB-Lite Multilayer Interconnect IP User Guide 2 Introduction The Roa Logic AHB-Lite Multi-layer Interconnect is a fully parameterized soft IP High Performance, Low Latency Interconnect Fabric for AHB-Lite.

More information

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week? Announcements EECS 373 Homework #2 Where was I last week? Design of Microprocessor-Based Systems VLCS 14 MobiCom 14 HotWireless 14 Prabal Dutta University of Michigan Lecture 5: Memory and Peripheral Busses

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE Richa Sinha 1, Akhilesh Kumar 2 and Archana Kumari Sinha 3 1&2 Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India 3 Department of Physics,

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

5. On-chip Bus

5. On-chip Bus 5. On-chip Bus... 5-1 5.1....5-1 5.2....5-1 5.2.1. Overview of the AMBA specification...5-1 5.2.2. Introducing the AMBA AHB...5-2 5.2.3. AMBA AHB signal list...5-3 5.2.4. The ARM-based system overview...5-6

More information

CoreHPDMACtrl v2.1. Handbook

CoreHPDMACtrl v2.1. Handbook CoreHPDMACtrl v2. Handbook CoreHPDMACtrl v2. Handbook Table of Contents Introduction...5 General Description... 5 Key Features... 5 Core Version... 5 Supported Families... 5 Utilization and Performance...

More information

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China AHB Slave Decoder User Guide 12/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB Slave Decoder Overview... 4 2.1 Pin Description... 4 2.2 Block Diagram... 5 3

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

Roa Logic. APB4 Multiplexer. Datasheet.   October, c Roa Logic B.V. Roa Logic Silicon Proven IP for FPGA and ASIC www.roalogic.com APB4 Multiplexer Datasheet http://roalogic.github.io/plic October, 2017 c Roa Logic B.V. Contents 1 Introduction 1 1.1 Features......................................

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Today Memory-Mapped I/O Example Bus with Memory-Mapped I/O Bus Architectures AMBA APB Lecture 4: Memory-Mapped I/O,

More information

(ARM&Standard&Parallel&Bus) Introduction

(ARM&Standard&Parallel&Bus) Introduction ARM AMBA&Bus (ARM&Standard&Parallel&Bus) 1 Introduction Advanced*Microcontroller*Bus*Architecture*(AMBA) an*open*standard,*on:chip*bus*specification*by*arm describes*a*strategy*for*the*interconnection*and*management*of*

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 5: Memory-mapped I/O review, APB, Mostly APB though J January 18 th 2018 1 Administra,ve Midterm Exam: Monday,

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER USING AHB PROTOCOL M. Chaithanya, M.Tech, VLSI System Design, Department of Electronics and Communication Engineering Srinivasa Institute of Technology and

More information

SoC Interconnect Bus Structures

SoC Interconnect Bus Structures SoC Interconnect Bus Structures COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China AHB2APB Bridge User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB2APB Bridge Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 4

More information

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, Pooja Kawale* et al ISSN: 2250-3676 [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, 161-165 Design of AMBA Based AHB2APB Bridge Ms. Pooja Kawale Student

More information

Verilog AHB Testbench User's Guide

Verilog AHB Testbench User's Guide Digital Logic and Electronic Systems Design Company Verilog AHB Testbench User's Guide Pulse Logic www.pulselogic.com.pl e-mail: info@pulselogic.com.pl Document version: 1.0 Document date: March 2010 Table

More information

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Sravya Kante #1, Hari KishoreKakarla *2, Avinash Yadlapati #3 1, 2 Department of ECE, KL University Green Fields, Vaddeswaram-522502,

More information

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip Mukthi. S. L 1 Dr. A. R. Aswatha 2 1Department of Electrical & Electronics Engineering, Jain University,

More information

Improving Memory Access time by Building an AMBA AHB compliant Memory Controller

Improving Memory Access time by Building an AMBA AHB compliant Memory Controller Improving Memory Access time by Building an AMBA AHB compliant Memory Controller Arun G M.Tech(Student),VLSI SJBIT, Bangalore-60 Vijaykumar T Associate Lecturer, Dept. of ECE SJBIT, Bangalore-60 Abstract

More information

Assertion Based Verification of AMBA-AHB Using System Verilog

Assertion Based Verification of AMBA-AHB Using System Verilog Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor

More information

esi-multichannel Timer

esi-multichannel Timer 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 Version 2.2 - Confidential 2 of 6 2010 EnSilica Ltd, All Rights Reserved 2 Overview

More information

System Design Kit. Cortex-M. Technical Reference Manual. Revision: r0p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0479B (ID070811)

System Design Kit. Cortex-M. Technical Reference Manual. Revision: r0p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0479B (ID070811) Cortex-M System Design Kit Revision: r0p0 Technical Reference Manual Copyright 2011 ARM. All rights reserved. ARM DDI 0479B () Cortex-M System Design Kit Technical Reference Manual Copyright 2011 ARM.

More information

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design DANIEL BENGTSSON RICHARD FÅNG Chalmers University of Technology University

More information

Architectural design proposal for real time clock for wireless microcontroller unit

Architectural design proposal for real time clock for wireless microcontroller unit Architectural design proposal for real time clock for wireless microcontroller unit Muhammad Nor Azwan Mohd Alias 1, *, and Shaiful Nizam Mohyar 1 1 School of Microelectronic Engineering, University Malaysia

More information

Part A. Yunfei Gu Washington University in St. Louis

Part A. Yunfei Gu Washington University in St. Louis Tools Tutorials Part A Yunfei Gu Washington University in St. Louis Outline RISC-V Z-scale Architecture AHB-Lite protocol Synopsys VCS RISC-V Z-scale What is RISC-V Z-scale? Z-scale is a tiny 32-bit RISC-V

More information

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture Pravin S. Shete 1, Dr. Shruti Oza 2 1 Research Fellow, Electronics Department, BVDU College of Engineering, Pune, India. 2 Department

More information

1. INTRODUCTION OF AMBA

1. INTRODUCTION OF AMBA 1 1. INTRODUCTION OF AMBA 1.1 Overview of the AMBA specification The Advanced Microcontroller Bus Architecture (AMBA) specification defines an on chip communications standard for designing high-performance

More information

Universität Dortmund. ARM Cortex-M3 Buses

Universität Dortmund. ARM Cortex-M3 Buses ARM Cortex-M3 Buses Modulo 2 No change in class organization Thursday aftenoon (17-19) Lectures (Rossi) Aprile Giugno (Mod 2) room 1.3 Friday afternoon (14-18) (Benatti): LAB2 Content natural prosecution

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 56/SHA224 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 4 4 Software Interface 5 4.1 Register Map 5 5 SHA256/SHA224 Operation 8 5.1 Introduction 8 5.2 Cycle counts 8 6 Revision History

More information

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others! Embedded Busses Large semiconductor ( IBM ) CoreConnect STBUS (STMicroelectronics) Core vendors (. Ltd AMBA (ARM Interconnect IP vendors ( Palmchip ) CoreFrame ( Silicore ) WishBone ( Sonics ) SiliconBackPlane

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Lecture 5: Memory-mapped I/O review, APB, start interrupts. Mostly APB though Sept. 19 th 2018 1 Today Memory-mapped I/O

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

CoreAPB3 v4.1. Handbook

CoreAPB3 v4.1. Handbook CoreAPB3 v4.1 Handbook CoreAPB3 v4.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 5 Supported Microsemi FPGA Families... 5 Core Version... 5 Supported Interfaces... 5

More information

Design of AMBA Based AHB2APB Bridge

Design of AMBA Based AHB2APB Bridge 14 Design of AMBA Based AHB2APB Bridge Vani.R.M and M.Roopa, Reader and Head University Science Instrumentation Center, Gulbarga University, Gulbarga, INDIA Assistant Professor in the Department of Electronics

More information

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 5 Revision History 8 Version 2.3.2 - Confidential 2 of 8 2011 EnSilica Ltd, All Rights

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 6: AHB-Lite, Interrupts (1) September 18, 2014 Slides"developed"in"part"by"Mark"Brehob" 1" Today" Announcements"

More information

VeriFlow Technologies India (P) Ltd

VeriFlow Technologies India (P) Ltd AHB Monitor VIP Version 0.3, Dec 05, 2008 Prabuddha Khare Rev. # Designer Description Date Released 0.1 Prabuddha Khare Initial Draft May 29, 2008 0.2 Prabuddha Khare Added more sections and TOC July 22,

More information

Cortex -M System Design Kit. Arm. Technical Reference Manual. Revision: r1p1

Cortex -M System Design Kit. Arm. Technical Reference Manual. Revision: r1p1 Arm Cortex -M System Design Kit Revision: r1p1 Technical Reference Manual Copyright 2011, 2013, 2017 Arm Limited (or its affiliates). All rights reserved. ARM DDI 0479D () Arm Cortex-M System Design Kit

More information

Lecture 10 Introduction to AMBA AHB

Lecture 10 Introduction to AMBA AHB Lecture 10 Introduction to AMBA AHB Multimedia Architecture and Processing Laboratory 多媒體架構與處理實驗室 Prof. Wen-Hsiao Peng ( 彭文孝 ) pawn@mail.si2lab.org 2007 Spring Term 1 2 Reference AMBA Specification 2.0

More information

ISSN Vol.03, Issue.08, October-2015, Pages:

ISSN Vol.03, Issue.08, October-2015, Pages: ISSN 2322-0929 Vol.03, Issue.08, October-2015, Pages:1284-1288 www.ijvdcs.org An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge K. VAMSI KRISHNA 1, K.AMARENDRA PRASAD 2 1 Research

More information

FSM & Handshaking Based AHB to APB Bridge for High Speed Systems

FSM & Handshaking Based AHB to APB Bridge for High Speed Systems FSM & Handshaking Based AHB to APB Bridge for High Speed Systems Prof. Ravi Mohan Sairam 1 Prof. Sumit Sharma 2 Miss. Geeta Pal 3 1 Head of the Department (M.Tech) Shri Ram Institute of Technology, Jabalpur

More information

AXI and OCP protocol Interface for Sytem on Chip

AXI and OCP protocol Interface for Sytem on Chip AXI and OCP protocol Interface for Sytem on Chip Ms. Monica Damor 1, Mr Gardas Naresh Kumar 2, Mr. Santosh Jagtap 3 1 Research Scholar, GTU PG School,Gujarat,India 2 Course Co-Ordinator, CDAC ACTS, Maharashtra,

More information

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.08, August-2013, Pages:769-772 Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus P.GOUTHAMI 1, Y.PRIYANKA

More information

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller Ch.Krishnam Raju M.Tech (ES) Department of ECE Jogaiah Institute of Technology and Sciences, Kalagampudi, Palakol

More information

ORIGINAL ARTICLE. Abstract. ISSN: (print) ISSN: (online)

ORIGINAL ARTICLE. Abstract. ISSN: (print) ISSN: (online) International Journal of Environment 4(1): 18-24 (2014) ORIGINAL ARTICLE Proficient FPGA Execution of Secured and Apparent Electronic Voting Machine Using Verilog HDL Tabia Hossain, Syed Syed Shihab Uddin,

More information

White Paper AHB to Avalon & Avalon to AHB Bridges

White Paper AHB to Avalon & Avalon to AHB Bridges White Paper AHB to & to AHB s Introduction For years, system designers have been manually connecting IP peripheral functions to embedded processors, taking anywhere from weeks to months to accomplish.

More information

CoreMDIO_APB v2.0. Handbook

CoreMDIO_APB v2.0. Handbook CoreMDIO_APB v2.0 Handbook Revision History Date Revision Change August 2015 1 Initial release Confidentiality Status This is a non-confidential document. 2 CoreMDIO_APB v2.0 Handbook Table of Contents

More information

AMBA Peripheral Bus Controller

AMBA Peripheral Bus Controller Data Sheet Copyright 1997 Advanced RISC Machines Ltd (ARM). All rights reserved. ARM DDI 0044C Data Sheet Copyright 1997 Advanced RISC Machines Ltd (ARM). All rights reserved. Release Information Issue

More information

ISSN: [IDSTM-18] Impact Factor: 5.164

ISSN: [IDSTM-18] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY AN AREA EFFICIENT AHB SLAVE DESIGNING USING VHDL Hitanshu Saluja 1, Dr. Naresh Grover 2 1 Research Scholar, ECE, ManavRachnaInternational

More information

CoreAHB. Contents. Product Summary. General Description. Intended Use. Key Features. Benefits. Supported Device Families

CoreAHB. Contents. Product Summary. General Description. Intended Use. Key Features. Benefits. Supported Device Families Product Summary Intended Use Provides an AHB Bus Fabric and Is Intended for Use in an AMBA Subsystem where Multiple AHB Masters are Present Key Features Supplied in SysBASIC Core Bundle Implements a Multi-Master

More information

World Journal of Engineering Research and Technology WJERT

World Journal of Engineering Research and Technology WJERT wjert, 2016, Vol. 2, Issue 5, 01-11 Research Article ISSN 2454-695X Mukthi et al. WJERT www.wjert.org SJIF Impact Factor: 3.419 DESIGN AND VERIFICATION OF PRIORITY CONFIGURABLE INTERRUPT CONTROLLER Mukthi.

More information

AvnetCore: Datasheet

AvnetCore: Datasheet AvnetCore: Datasheet CAN Controller with / FIFO Intended Use: Automotive Industry Engine Control Unit Sensors Version 1.0, July 2006 xcan_clk (>8 MHz) pclk reset_n APB Interrupts System Control APB Interface

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

CoreGPIO v3.1. Handbook

CoreGPIO v3.1. Handbook CoreGPIO v3.1 Handbook Table of Contents Introduction...5 Core Overview... 5 Key Features... 5 Supported Families... 5 Core Version... 6 Supported Interfaces... 6 Device Utilization and Performance...

More information

Verification of AMBA AXI4 Protocol Using UVM

Verification of AMBA AXI4 Protocol Using UVM Verification of AMBA AXI4 Protocol Using UVM G Sai Divya 1, K. Niranjan Reddy 2 1 M-Tech Scholar, Department of ECE, Malla Reddy Engineering College for Women, Hyderabad 2 Assistant Professor, Department

More information

Interprocess Communication

Interprocess Communication VLSI Systems Design Connection and Communication Models Goal: You can make the link between the low level connection architectures and the higher level communication models and master their implementation.

More information

Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture Design and Implementation High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture Shashisekhar Ramagundam 1, Sunil R.Das 1, 2, Scott Morton 1, Satyendra N. Biswas 4, Voicu

More information

CoreConfigMaster v2.1. Handbook

CoreConfigMaster v2.1. Handbook CoreConfigMaster v2.1 Handbook CoreConfigMaster v2.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 3 Interface

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN 58 Assertion Based Verification of AMBA-AHB Using Synopsys VCS Akshay Mann, Ashwani Kumar Abstract-The successof assertion based functional verification depends on the debugging environment associated

More information

Design of Microprocessor-Based Systems Part II

Design of Microprocessor-Based Systems Part II Design of Microprocessor-Based Systems Part II Prabal Dutta University of Michigan Modified by Jim Huang 1 Aside: writing an architectural simulator QEMU source 2 System Memory Map

More information

Chapter 2 The AMBA SOC Platform

Chapter 2 The AMBA SOC Platform Chapter 2 The AMBA SOC Platform SoCs contain numerous IPs that provide varying functionalities. The interconnection of IPs is non-trivial because different SoCs may contain the same set of IPs but have

More information

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs Limbanna. P. Rathod, Dr. Jayanthi. K. Murthy, Mr. Shaik Chand Basha Post Graduate student, Associate Professor - Dept.

More information

Application Note. Implementing AHB Peripherals in Logic Tiles. Document number: ARM DAI 0119E Issued: January 2006 Copyright ARM Limited 2006

Application Note. Implementing AHB Peripherals in Logic Tiles. Document number: ARM DAI 0119E Issued: January 2006 Copyright ARM Limited 2006 Application Note 119 Implementing AHB Peripherals in Logic Tiles Document number: Issued: January 2006 Copyright ARM Limited 2006 Copyright 2006 ARM Limited. All rights reserved. Application Note 119 Implementing

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Resource requirements 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 7 5 RSA Operation 8 5.1 Introduction 8 5.2 Cycle counts

More information

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL Smitha A P1, Ashwini S2 1 M.Tech VLSI Design and Embedded Systems, ECE Dept. 2 Assistant Professor, ECE Dept. NCET, Bengaluru, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

VLSI Systems Design. Connection and Communication Models

VLSI Systems Design. Connection and Communication Models VLSI Systems Design Connection and Communication Models Goal: You can make the link between the low level connection architectures and the higher level communication models and master their implementation.

More information

APB Bridge Based on AMBA 4.0

APB Bridge Based on AMBA 4.0 APB Bridge Based on AMBA 4.0 G Prathibha Department of ECE Sree Buddha College of Engineering, Pattoor Pandalam, Kerala Ambika Sekhar Department of ECE Sree Buddha College of Engineering, Pattoor Pandalam,

More information

Peripheral Test Block

Peripheral Test Block Peripheral Test Block Revision: r0p0 Technical Reference Manual Copyright 2005 ARM Limited. All rights reserved. ARM DDI 0364A Peripheral Test Block Technical Reference Manual Copyright 2005 ARM Limited.

More information

Project 1a: Hello World!

Project 1a: Hello World! Project 1a: Hello World! 1. Download cse465.zip from the web page. Unzip this using 7-Zip (not the Windows Utility it doesn t unzip files starting with a period) to your h:\ drive or wherever your CEC

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Performance of AHB Bus Tracer with Dynamic Multiresolution and Lossless Real Time Compression

Performance of AHB Bus Tracer with Dynamic Multiresolution and Lossless Real Time Compression ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Performance of AHB Bus Tracer with Dynamic Multiresolution and Lossless Real Time

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

A User s Experience with SystemVerilog

A User s Experience with SystemVerilog A User s Experience with SystemVerilog and Doulos Ltd Ringwood, U.K. BH24 1AW jonathan.bromley@doulos.com michael.smith@doulos.com 2 Objectives Practical use of SystemVerilog Synopsys tools (VCS, Design

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 FIFO Data Format 6 4.3 Interrupts 7 5 Revision History 8 Version 2.6.8 2 of 8 2012 EnSilica Ltd,

More information

EECS 373 Lab 3: Introduction to Memory Mapped I/O

EECS 373 Lab 3: Introduction to Memory Mapped I/O EECS 373 Lab 3: Introduction to Memory Mapped I/O In this lab we will learn: To develop custom peripheral hardware in the SmartFusion FPGA using the Libero CAD tools. The fundamentals of memory-mapped

More information

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL 1 Mr. Dipesh Gehani, 2 Prof. Ketan N. Patel, M.E. Student, Assistant Professor Vishwakarma Government Engineering

More information

ARM PrimeCell SDRAM Controller (PL170)

ARM PrimeCell SDRAM Controller (PL170) ARM PrimeCell SDRAM Controller (PL170) Technical Reference Manual Copyright 1999-2001 ARM Limited. All rights reserved. ARM DDI 0159D ARM PrimeCell SDRAM Controller (PL170) Technical Reference Manual Copyright

More information