Onyx: A Prototype Phase-Change Memory Storage Array

Size: px
Start display at page:

Download "Onyx: A Prototype Phase-Change Memory Storage Array"

Transcription

1 Onyx: A Prototype Phase-Change Memory Storage Array Ameen Akel * Adrian Caulfield, Todor Mollov, Rajesh Gupta, Steven Swanson Non-Volatile Systems Laboratory, Department of Computer Science and Engineering University of California, San Diego * Now at Micron Technology 1

2 4 KB Operation Request Latencies Disk Flash Current PCM Projected PCM Log Operation Request Latency (us) Write Read 2

3 Advantages of Studying PCM SSDs Understand current PCM performance With current storage infrastructure Versus other NV tech: e.g. Flash SSDs PCM performance may differ from simulation Variance in write latency due to data Wear-out characteristics Use real applications to gauge performance Understand how software should change for PCM Prepare to integrate future-generation PCM 3

4 Overview Motivation PCM Devices Technology Overview Micron P8P Devices Onyx Architecture Logical Architecture PCM DIMMs Physical Architecture Performance Analysis Applications and Conclusions 4

5 PCM: The Device Level PCM storage medium: Chalcogenide Resistance depends on molecular phase Writes Heaters are attached to the chalcogenide Current passed through heaters to change phase Allows bit-alterable writes Reads Measure resistance through chalcogenide area Resistance sensed by ability to sink current M. Breitwisch et al VLSI '07 5

6 PCM: The Device Level PCM storage medium: Chalcogenide Resistance depends on molecular phase Writes Heaters are attached to the chalcogenide Current passed through heaters to change phase Allows bit-alterable writes Reads Measure resistance through chalcogenide area Resistance sensed by ability to sink current XRD-measurements amorph fcc hexagonal M. Wuttig, et. al., FP6 Project CAMELS. 6

7 PCM Write Operations in Depth Material heated to > 600 C then cooled quickly Amorphous ~ 350 C then cooled slowly Crystalline Set and reset Reset 0 state Set 1 state 10 ns ns 7

8 PCM Projections Future PCM latency projections * : Operation Read Set Reset Latency 48 ns 150 ns 40 ns Process node progression: 90, 45, 32, 20, 9 nm *B. C. Lee, et. al. Architecting Phase Change Memory as a Scalable DRAM Alternative. ISCA

9 P8P PCM First-generation NOR-flash replacement Part: NP8P128A13B1760E (P8P) Process Node: 90 nm Capacity: 16 MB Per Device Bandwidth, Latency, Current Write (64 bytes): 0.5 MB/s, 120 us, 35 ma Read (16 bytes): 48.6 MB/s, 314 ns, 15 ma Lifetime: One million writes until first bit error 9

10 Overview Motivation PCM Devices Technology Overview Micron P8P Devices Onyx Architecture Logical Architecture PCM DIMMs Physical Architecture Performance Analysis Applications and Conclusions 10

11 Moneta: SSD for Emulated Fast NVMs CPU Moneta Application File System OS IO Stack Moneta Driver DRAM PCIe DRAM DRAM DRAM DRAM DRAM DRAM DRAM DRAM-based NV-SSD emulator Learn by building Hardware Controller & interconnect Software Driver, file system, apps Uses optimized software stack Decreases request latency Improves request concurrency 11

12 Onyx: Phase-Change Memory SSD Application File System Based on Moneta * OS IO Stack Onyx Driver Shares hardware Shares software stack CPU DRAM DRAM PCM replaces DRAM Uses real PCM Onyx PCIe Custom PCM controller PCM PCM PCM PCM PCM PCM *A. M. Caulfield, et. al. Moneta: A highperformance storage array architecture for next-generation, non-volatile memories. MICRO

13 Moneta/Onyx Architecture Host via PIO Request Queue Scoreboard Ring Control Transfer Buffers DMA Control Ring (4 GB/s) 2GB PCM 2GB PCM 2GB PCM Tag Status Registers 2GB PCM Host via DMA 13

14 Onyx PCM Controller Request Completion Late Completion On PCM write completion Early Completion On request reception Start-Gap Wear Leveling * Low overhead wear leveling (two registers + logic) Prevents hot spots from wearing out memory Rotates line in memory every gap interval *M. K. Qureshi, et. al. Enhancing lifetime and security of PCMbased main memory with start-gap wear leveling. MICRO

15 Closer Look at a PCM DIMM 8 Ranks of 5 PCM devices 64 data bits + 16 ECC bits Effectively 16 ranks per memory interface Shared control and data lines Capacity: 640 MB / DIMM Address[0:25] Device 0 Device 1 Device 2 Device 3 Device 4 Data[0:15] Data[16:31] Data[32:47] Data[48:63] Data[64:79] 15

16 Prototyping Advanced SSDs Built on RAMP s BEE3 board Four FPGAs connected in a ring Four DIMM slots per FPGA PCIe 1.1 x8 host connection System capacity: 10 GB 16

17 Overview Motivation PCM Devices Technology Overview Micron P8P Devices Onyx Architecture Logical Architecture PCM DIMMs Physical Architecture Performance Analysis Applications and Conclusions 17

18 Read Performance 2000 Onyx FusionIO Moneta Bandwidth (MB/s) Request Size (KB) 18

19 Write Performance 2000 Onyx-Late Onyx-Early FusionIO Moneta Bandwidth (MB/s) Request Size (KB) 19

20 BerkeleyDB Performance 8000 Onyx FusionIO Moneta Transactions / Second BTree BDB Benchmark HashTable 20

21 Potential PCM Applications As a read cache First-gen PCM read speeds compete with flash Next-gen PCM should improve read performance Replace DRAM in high-performance apps PCM cost will likely drop below DRAM Will scale aggressively past DRAM Outpace flash in high-performance SSDs Reduces complexity of management Provides higher-rated lifetime Saves power, logic, and design time 21

22 Conclusions Onyx designed to maximize PCM performance More improvements possible as PCM scales Onyx architecture will scale with PCM Onyx will benefit from faster reads and writes PCM simplifies SSD management relative to flash and improves small access performance 22

23 Thank You! Questions? 23

Moneta: A High-Performance Storage Architecture for Next-generation, Non-volatile Memories

Moneta: A High-Performance Storage Architecture for Next-generation, Non-volatile Memories Moneta: A High-Performance Storage Architecture for Next-generation, Non-volatile Memories Adrian M. Caulfield Arup De, Joel Coburn, Todor I. Mollov, Rajesh K. Gupta, Steven Swanson Non-Volatile Systems

More information

Onyx: A Protoype Phase Change Memory Storage Array

Onyx: A Protoype Phase Change Memory Storage Array Onyx: A Protoype Phase Change ory Storage Array Ameen Akel Adrian M. Caulfield Todor I. Mollov Rajesh K. Gupta Steven Swanson Computer Science and Engineering University of California, San Diego Abstract

More information

Moneta: A High-performance Storage Array Architecture for Nextgeneration, Micro 2010

Moneta: A High-performance Storage Array Architecture for Nextgeneration, Micro 2010 Moneta: A High-performance Storage Array Architecture for Nextgeneration, Non-volatile Memories Micro 2010 NVM-based SSD NVMs are replacing spinning-disks Performance of disks has lagged NAND flash showed

More information

Redrawing the Boundary Between So3ware and Storage for Fast Non- Vola;le Memories

Redrawing the Boundary Between So3ware and Storage for Fast Non- Vola;le Memories Redrawing the Boundary Between So3ware and Storage for Fast Non- Vola;le Memories Steven Swanson Director, Non- Vola;le System Laboratory Computer Science and Engineering University of California, San

More information

Near- Data Computa.on: It s Not (Just) About Performance

Near- Data Computa.on: It s Not (Just) About Performance Near- Data Computa.on: It s Not (Just) About Performance Steven Swanson Non- Vola0le Systems Laboratory Computer Science and Engineering University of California, San Diego 1 Solid State Memories NAND

More information

Scalable High Performance Main Memory System Using PCM Technology

Scalable High Performance Main Memory System Using PCM Technology Scalable High Performance Main Memory System Using PCM Technology Moinuddin K. Qureshi Viji Srinivasan and Jude Rivers IBM T. J. Watson Research Center, Yorktown Heights, NY International Symposium on

More information

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash?

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Dr. Mostafa Abdulla High-Speed Engineering Sr. Manager, Micron Marc Greenberg Product Marketing Director, Cadence August 19, 2010 Flash Memory

More information

New Abstractions for Fast Non-Volatile Storage

New Abstractions for Fast Non-Volatile Storage New Abstractions for Fast Non-Volatile Storage Joel Coburn, Adrian Caulfield, Laura Grupp, Ameen Akel, Steven Swanson Non-volatile Systems Laboratory Department of Computer Science and Engineering University

More information

A Prototype Storage Subsystem based on PCM

A Prototype Storage Subsystem based on PCM PSS A Prototype Storage Subsystem based on IBM Research Zurich Ioannis Koltsidas, Roman Pletka, Peter Mueller, Thomas Weigold, Evangelos Eleftheriou University of Patras Maria Varsamou, Athina Ntalla,

More information

Evaluating Phase Change Memory for Enterprise Storage Systems

Evaluating Phase Change Memory for Enterprise Storage Systems Hyojun Kim Evaluating Phase Change Memory for Enterprise Storage Systems IBM Almaden Research Micron provided a prototype SSD built with 45 nm 1 Gbit Phase Change Memory Measurement study Performance Characteris?cs

More information

Phase-change RAM (PRAM)- based Main Memory

Phase-change RAM (PRAM)- based Main Memory Phase-change RAM (PRAM)- based Main Memory Sungjoo Yoo April 19, 2011 Embedded System Architecture Lab. POSTECH sungjoo.yoo@gmail.com Agenda Introduction Current status Hybrid PRAM/DRAM main memory Next

More information

Dynamic Interval Polling and Pipelined Post I/O Processing for Low-Latency Storage Class Memory

Dynamic Interval Polling and Pipelined Post I/O Processing for Low-Latency Storage Class Memory Dynamic Interval Polling and Pipelined Post I/O Processing for Low-Latency Storage Class Memory Dong In Shin, Young Jin Yu, Hyeong S. Kim, Jae Woo Choi, Do Yung Jung, Heon Y. Yeom Taejin Infotech, Seoul

More information

PCIe Storage Beyond SSDs

PCIe Storage Beyond SSDs PCIe Storage Beyond SSDs Fabian Trumper NVM Solutions Group PMC-Sierra Santa Clara, CA 1 Classic Memory / Storage Hierarchy FAST, VOLATILE CPU Cache DRAM Performance Gap Performance Tier (SSDs) SLOW, NON-VOLATILE

More information

Providing Safe, User Space Access to Fast, Solid State Disks

Providing Safe, User Space Access to Fast, Solid State Disks Providing Safe, User Space Access to Fast, Solid State Disks Adrian M. Caulfield Todor I. Mollov Louis Alex Eisner Arup De Joel Coburn Steven Swanson Computer Science and Engineering Department University

More information

NVM PCIe Networked Flash Storage

NVM PCIe Networked Flash Storage NVM PCIe Networked Flash Storage Peter Onufryk Microsemi Corporation Santa Clara, CA 1 PCI Express (PCIe) Mid-range/High-end Specification defined by PCI-SIG Software compatible with PCI and PCI-X Reliable,

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

Preface. Fig. 1 Solid-State-Drive block diagram

Preface. Fig. 1 Solid-State-Drive block diagram Preface Solid-State-Drives (SSDs) gained a lot of popularity in the recent few years; compared to traditional HDDs, SSDs exhibit higher speed and reduced power, thus satisfying the tough needs of mobile

More information

Developing a Prototyping Board for Emerging Memory

Developing a Prototyping Board for Emerging Memory Developing a Prototyping Board for Emerging Memory 2013. 10. 25 Sungjoo Yoo Embedded System Architecture Lab. POSTECH Introduction scaling problem [ITRS, 2012] Year 2012 2013 2014 2015 2016 2017 2018 2019

More information

End-to-End Adaptive Packet Aggregation for High-Throughput I/O Bus Network Using Ethernet

End-to-End Adaptive Packet Aggregation for High-Throughput I/O Bus Network Using Ethernet Hot Interconnects 2014 End-to-End Adaptive Packet Aggregation for High-Throughput I/O Bus Network Using Ethernet Green Platform Research Laboratories, NEC, Japan J. Suzuki, Y. Hayashi, M. Kan, S. Miyakawa,

More information

NAND Interleaving & Performance

NAND Interleaving & Performance NAND Interleaving & Performance What You Need to Know Presented by: Keith Garvin Product Architect, Datalight August 2008 1 Overview What is interleaving, why do it? Bus Level Interleaving Interleaving

More information

UNIVERSITY OF CALIFORNIA, SAN DIEGO. Providing Fast and Safe Access to Next-Generation, Non-Volatile Memories

UNIVERSITY OF CALIFORNIA, SAN DIEGO. Providing Fast and Safe Access to Next-Generation, Non-Volatile Memories UNIVERSITY OF CALIFORNIA, SAN DIEGO Providing Fast and Safe Access to Next-Generation, Non-Volatile Memories A dissertation submitted in partial satisfaction of the requirements for the degree Doctor of

More information

Comparing Performance of Solid State Devices and Mechanical Disks

Comparing Performance of Solid State Devices and Mechanical Disks Comparing Performance of Solid State Devices and Mechanical Disks Jiri Simsa Milo Polte, Garth Gibson PARALLEL DATA LABORATORY Carnegie Mellon University Motivation Performance gap [Pugh71] technology

More information

Operating System Supports for SCM as Main Memory Systems (Focusing on ibuddy)

Operating System Supports for SCM as Main Memory Systems (Focusing on ibuddy) 2011 NVRAMOS Operating System Supports for SCM as Main Memory Systems (Focusing on ibuddy) 2011. 4. 19 Jongmoo Choi http://embedded.dankook.ac.kr/~choijm Contents Overview Motivation Observations Proposal:

More information

Disclaimer This presentation may contain product features that are currently under development. This overview of new technology represents no commitme

Disclaimer This presentation may contain product features that are currently under development. This overview of new technology represents no commitme FUT3040BU Storage at Memory Speed: Finally, Nonvolatile Memory Is Here Rajesh Venkatasubramanian, VMware, Inc Richard A Brunner, VMware, Inc #VMworld #FUT3040BU Disclaimer This presentation may contain

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

Energy-Aware Writes to Non-Volatile Main Memory

Energy-Aware Writes to Non-Volatile Main Memory Energy-Aware Writes to Non-Volatile Main Memory Jie Chen Ron C. Chiang H. Howie Huang Guru Venkataramani Department of Electrical and Computer Engineering George Washington University, Washington DC ABSTRACT

More information

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory HotStorage 18 BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory Gyuyoung Park 1, Miryeong Kwon 1, Pratyush Mahapatra 2, Michael Swift 2, and Myoungsoo Jung 1 Yonsei University Computer

More information

Reliability, Availability, Serviceability (RAS) and Management for Non-Volatile Memory Storage

Reliability, Availability, Serviceability (RAS) and Management for Non-Volatile Memory Storage Reliability, Availability, Serviceability (RAS) and Management for Non-Volatile Memory Storage Mohan J. Kumar, Intel Corp Sammy Nachimuthu, Intel Corp Dimitris Ziakas, Intel Corp August 2015 1 Agenda NVDIMM

More information

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models Lecture: Memory, Multiprocessors Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models 1 Refresh Every DRAM cell must be refreshed within a 64 ms window A row

More information

Lecture 8: Virtual Memory. Today: DRAM innovations, virtual memory (Sections )

Lecture 8: Virtual Memory. Today: DRAM innovations, virtual memory (Sections ) Lecture 8: Virtual Memory Today: DRAM innovations, virtual memory (Sections 5.3-5.4) 1 DRAM Technology Trends Improvements in technology (smaller devices) DRAM capacities double every two years, but latency

More information

NVSL. The Non-Volatile Systems Laboratory Research Overview. Dr. Steven Swanson, Director

NVSL. The Non-Volatile Systems Laboratory Research Overview. Dr. Steven Swanson, Director The Non-Volatile Systems Laboratory Research Overview NVSL Dr. Steven Swanson, Director swanson@cs.ucsd.edu http://nvsl.ucsd.edu February 2, 2016 The Non-Volatile Systems Laboratory (NVSL) works to improve

More information

Deploy a High-Performance Database Solution: Cisco UCS B420 M4 Blade Server with Fusion iomemory PX600 Using Oracle Database 12c

Deploy a High-Performance Database Solution: Cisco UCS B420 M4 Blade Server with Fusion iomemory PX600 Using Oracle Database 12c White Paper Deploy a High-Performance Database Solution: Cisco UCS B420 M4 Blade Server with Fusion iomemory PX600 Using Oracle Database 12c What You Will Learn This document demonstrates the benefits

More information

Unblinding the OS to Optimize User-Perceived Flash SSD Latency

Unblinding the OS to Optimize User-Perceived Flash SSD Latency Unblinding the OS to Optimize User-Perceived Flash SSD Latency Woong Shin *, Jaehyun Park **, Heon Y. Yeom * * Seoul National University ** Arizona State University USENIX HotStorage 2016 Jun. 21, 2016

More information

Adrian Proctor Vice President, Marketing Viking Technology

Adrian Proctor Vice President, Marketing Viking Technology Storage PRESENTATION in the TITLE DIMM GOES HERE Socket Adrian Proctor Vice President, Marketing Viking Technology SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA unless

More information

Summarizer: Trading Communication with Computing Near Storage

Summarizer: Trading Communication with Computing Near Storage Summarizer: Trading Communication with Computing Near Storage Gunjae Koo*, Kiran Kumar Matam*, Te I, H.V. Krishina Giri Nara*, Jing Li, Hung-Wei Tseng, Steven Swanson, Murali Annavaram* *University of

More information

Lecture 7: PCM, Cache coherence. Topics: handling PCM errors and writes, cache coherence intro

Lecture 7: PCM, Cache coherence. Topics: handling PCM errors and writes, cache coherence intro Lecture 7: M, ache coherence Topics: handling M errors and writes, cache coherence intro 1 hase hange Memory Emerging NVM technology that can replace Flash and DRAM Much higher density; much better scalability;

More information

Secure Erasure of Flash Memory

Secure Erasure of Flash Memory Secure Erasure of Flash Memory Adrian Caulfield, Laura Grupp, Joel Coburn, Ameen Akel, Steven Swanson Non-volatile Systems Laboratory Department of Computer Science and Engineering University of California,

More information

The Long-Term Future of Solid State Storage Jim Handy Objective Analysis

The Long-Term Future of Solid State Storage Jim Handy Objective Analysis The Long-Term Future of Solid State Storage Jim Handy Objective Analysis Agenda How did we get here? Why it s suboptimal How we move ahead Why now? DRAM speed scaling Changing role of NVM in computing

More information

Persistent Memory. High Speed and Low Latency. White Paper M-WP006

Persistent Memory. High Speed and Low Latency. White Paper M-WP006 Persistent Memory High Speed and Low Latency White Paper M-WP6 Corporate Headquarters: 3987 Eureka Dr., Newark, CA 9456, USA Tel: (51) 623-1231 Fax: (51) 623-1434 E-mail: info@smartm.com Customer Service:

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

Storage. CS 3410 Computer System Organization & Programming

Storage. CS 3410 Computer System Organization & Programming Storage CS 3410 Computer System Organization & Programming These slides are the product of many rounds of teaching CS 3410 by Deniz Altinbuke, Kevin Walsh, and Professors Weatherspoon, Bala, Bracy, and

More information

Willow: A User- Programmable SSD

Willow: A User- Programmable SSD Willow: A User- Programmable SSD Sudharsan Seshadri, Mark Gahagan, Sundaram Bhaskaran, Trevor Bunker, Arup De, Yanqin Jin, Yang Liu, and Steven Swanson Non- VolaDle Systems Laboratory Computer Science

More information

3D Xpoint Status and Forecast 2017

3D Xpoint Status and Forecast 2017 3D Xpoint Status and Forecast 2017 Mark Webb MKW 1 Ventures Consulting, LLC Memory Technologies Latency Density Cost HVM ready DRAM ***** *** *** ***** NAND * ***** ***** ***** MRAM ***** * * *** 3DXP

More information

The Role of Storage Class Memory in Future Hardware Platforms Challenges and Opportunities

The Role of Storage Class Memory in Future Hardware Platforms Challenges and Opportunities The Role of Storage Class Memory in Future Hardware Platforms Challenges and Opportunities Sudhanva Gurumurthi gurumurthi@cs.virginia.edu Multicore Processors Intel Nehalem AMD Phenom IBM POWER6 Future

More information

Memory Class Storage. Bill Gervasi Principal Systems Architect Santa Clara, CA August

Memory Class Storage. Bill Gervasi Principal Systems Architect Santa Clara, CA August Memory Class Storage Bill Gervasi Principal Systems Architect bilge@nantero.com August 2018 1 DRAM Treadmill DDR5-3200 DDR5-3600 DDR5-4400 DDR5-4000 DDR5-4800 DDR5-5200 DDR4-1600 DDR5-5600 DDR4-1866 DDR5-6000

More information

Exploring the Potential of Phase Change Memories as an Alternative to DRAM Technology

Exploring the Potential of Phase Change Memories as an Alternative to DRAM Technology Exploring the Potential of Phase Change Memories as an Alternative to DRAM Technology Venkataraman Krishnaswami, Venkatasubramanian Viswanathan Abstract Scalability poses a severe threat to the existing

More information

FC-NVMe. NVMe over Fabrics. Fibre Channel the most trusted fabric can transport NVMe natively. White Paper

FC-NVMe. NVMe over Fabrics. Fibre Channel the most trusted fabric can transport NVMe natively. White Paper FC-NVMe NVMe over Fabrics Fibre Channel the most trusted fabric can transport NVMe natively BACKGROUND AND SUMMARY Ever since IBM shipped the world s first hard disk drive (HDD), the RAMAC 305 in 1956,

More information

Next Generation Architecture for NVM Express SSD

Next Generation Architecture for NVM Express SSD Next Generation Architecture for NVM Express SSD Dan Mahoney CEO Fastor Systems Copyright 2014, PCI-SIG, All Rights Reserved 1 NVMExpress Key Characteristics Highest performance, lowest latency SSD interface

More information

Quiz for Chapter 6 Storage and Other I/O Topics 3.10

Quiz for Chapter 6 Storage and Other I/O Topics 3.10 Date: 3.10 Not all questions are of equal difficulty. Please review the entire quiz first and then budget your time carefully. Name: Course: 1. [6 points] Give a concise answer to each of the following

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

Row Buffer Locality Aware Caching Policies for Hybrid Memories. HanBin Yoon Justin Meza Rachata Ausavarungnirun Rachael Harding Onur Mutlu

Row Buffer Locality Aware Caching Policies for Hybrid Memories. HanBin Yoon Justin Meza Rachata Ausavarungnirun Rachael Harding Onur Mutlu Row Buffer Locality Aware Caching Policies for Hybrid Memories HanBin Yoon Justin Meza Rachata Ausavarungnirun Rachael Harding Onur Mutlu Executive Summary Different memory technologies have different

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

Building blocks for custom HyperTransport solutions

Building blocks for custom HyperTransport solutions Building blocks for custom HyperTransport solutions Holger Fröning 2 nd Symposium of the HyperTransport Center of Excellence Feb. 11-12 th 2009, Mannheim, Germany Motivation Back in 2005: Quite some experience

More information

Hardware NVMe implementation on cache and storage systems

Hardware NVMe implementation on cache and storage systems Hardware NVMe implementation on cache and storage systems Jerome Gaysse, IP-Maker Santa Clara, CA 1 Agenda Hardware architecture NVMe for storage NVMe for cache/application accelerator NVMe for new NVM

More information

Aerie: Flexible File-System Interfaces to Storage-Class Memory [Eurosys 2014] Operating System Design Yongju Song

Aerie: Flexible File-System Interfaces to Storage-Class Memory [Eurosys 2014] Operating System Design Yongju Song Aerie: Flexible File-System Interfaces to Storage-Class Memory [Eurosys 2014] Operating System Design Yongju Song Outline 1. Storage-Class Memory (SCM) 2. Motivation 3. Design of Aerie 4. File System Features

More information

Flash Trends: Challenges and Future

Flash Trends: Challenges and Future Flash Trends: Challenges and Future John D. Davis work done at Microsoft Researcher- Silicon Valley in collaboration with Laura Caulfield*, Steve Swanson*, UCSD* 1 My Research Areas of Interest Flash characteristics

More information

Hardware Support for NVM Programming

Hardware Support for NVM Programming Hardware Support for NVM Programming 1 Outline Ordering Transactions Write endurance 2 Volatile Memory Ordering Write-back caching Improves performance Reorders writes to DRAM STORE A STORE B CPU CPU B

More information

HY225 Lecture 12: DRAM and Virtual Memory

HY225 Lecture 12: DRAM and Virtual Memory HY225 Lecture 12: DRAM and irtual Memory Dimitrios S. Nikolopoulos University of Crete and FORTH-ICS May 16, 2011 Dimitrios S. Nikolopoulos Lecture 12: DRAM and irtual Memory 1 / 36 DRAM Fundamentals Random-access

More information

Extending the NVMHCI Standard to Enterprise

Extending the NVMHCI Standard to Enterprise Extending the NVMHCI Standard to Enterprise Amber Huffman Principal Engineer Intel Corporation August 2009 1 Outline Remember: What is NVMHCI PCIe SSDs Coming with Challenges Enterprise Extensions to NVMHCI

More information

Efficient Memory Mapped File I/O for In-Memory File Systems. Jungsik Choi, Jiwon Kim, Hwansoo Han

Efficient Memory Mapped File I/O for In-Memory File Systems. Jungsik Choi, Jiwon Kim, Hwansoo Han Efficient Memory Mapped File I/O for In-Memory File Systems Jungsik Choi, Jiwon Kim, Hwansoo Han Operations Per Second Storage Latency Close to DRAM SATA/SAS Flash SSD (~00μs) PCIe Flash SSD (~60 μs) D-XPoint

More information

NON-VOLATILE SOLID STATE RECORDING

NON-VOLATILE SOLID STATE RECORDING NON-VOLATILE SOLID STATE RECORDING Doyle Johnson Mountain Optech Inc. 4775 Walnut St, Suite A Boulder CO 80301 Phone: +1-303-444-2851; FAX: +1-303-444-4431 doyle@mt-optec.com Presented at the THIC Meeting

More information

memory VT-PM8 & VT-PM16 EVALUATION WHITEPAPER Persistent Memory Dual Port Persistent Memory with Unlimited DWPD Endurance

memory VT-PM8 & VT-PM16 EVALUATION WHITEPAPER Persistent Memory Dual Port Persistent Memory with Unlimited DWPD Endurance memory WHITEPAPER Persistent Memory VT-PM8 & VT-PM16 EVALUATION VT-PM drives, part of Viking s persistent memory technology family of products, are 2.5 U.2 NVMe PCIe Gen3 drives optimized with Radian Memory

More information

Architecture Exploration of High-Performance PCs with a Solid-State Disk

Architecture Exploration of High-Performance PCs with a Solid-State Disk Architecture Exploration of High-Performance PCs with a Solid-State Disk D. Kim, K. Bang, E.-Y. Chung School of EE, Yonsei University S. Yoon School of EE, Korea University April 21, 2010 1/53 Outline

More information

The Datacentered Future Greg Huff CTO, LSI Corporation

The Datacentered Future Greg Huff CTO, LSI Corporation The Datacentered Future Greg Huff CTO, LSI Corporation 1 Tremendous Growth in Connected Data Sources, Consumption Devices, and Services 2 Nearly limitless data depth and breadth needed Execution of millions

More information

Emulex LPe16000B 16Gb Fibre Channel HBA Evaluation

Emulex LPe16000B 16Gb Fibre Channel HBA Evaluation Demartek Emulex LPe16000B 16Gb Fibre Channel HBA Evaluation Evaluation report prepared under contract with Emulex Executive Summary The computing industry is experiencing an increasing demand for storage

More information

Virtual Memory. Reading. Sections 5.4, 5.5, 5.6, 5.8, 5.10 (2) Lecture notes from MKP and S. Yalamanchili

Virtual Memory. Reading. Sections 5.4, 5.5, 5.6, 5.8, 5.10 (2) Lecture notes from MKP and S. Yalamanchili Virtual Memory Lecture notes from MKP and S. Yalamanchili Sections 5.4, 5.5, 5.6, 5.8, 5.10 Reading (2) 1 The Memory Hierarchy ALU registers Cache Memory Memory Memory Managed by the compiler Memory Managed

More information

Storage Systems : Disks and SSDs. Manu Awasthi July 6 th 2018 Computer Architecture Summer School 2018

Storage Systems : Disks and SSDs. Manu Awasthi July 6 th 2018 Computer Architecture Summer School 2018 Storage Systems : Disks and SSDs Manu Awasthi July 6 th 2018 Computer Architecture Summer School 2018 Why study storage? Scalable High Performance Main Memory System Using Phase-Change Memory Technology,

More information

HP visoko-performantna OLTP rješenja

HP visoko-performantna OLTP rješenja HP visoko-performantna OLTP rješenja Tomislav Alpeza Presales Consultant, BCS/SD 2011 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice Performance

More information

NVMe over Universal RDMA Fabrics

NVMe over Universal RDMA Fabrics NVMe over Universal RDMA Fabrics Build a Flexible Scale-Out NVMe Fabric with Concurrent RoCE and iwarp Acceleration Broad spectrum Ethernet connectivity Universal RDMA NVMe Direct End-to-end solutions

More information

Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs

Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs , Inc. Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs Doug Finke Director of Product Marketing September 2016

More information

1MG3-P Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

1MG3-P Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 1MG3-P Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents 2.5 PATA SSD 1MG3-P LIST OF FIGURES... 6 1. PRODUCT

More information

Presented by: Nafiseh Mahmoudi Spring 2017

Presented by: Nafiseh Mahmoudi Spring 2017 Presented by: Nafiseh Mahmoudi Spring 2017 Authors: Publication: Type: ACM Transactions on Storage (TOS), 2016 Research Paper 2 High speed data processing demands high storage I/O performance. Flash memory

More information

Storage and Memory Infrastructure to Support 5G Applications. Tom Coughlin President, Coughlin Associates

Storage and Memory Infrastructure to Support 5G Applications. Tom Coughlin President, Coughlin Associates Storage and Memory Infrastructure to Support 5G Applications Tom Coughlin President, Coughlin Associates www.tomcoughlin.com Outline 5G and its Implementation Storage and Memory Technologies Emerging Non

More information

Readings. Storage Hierarchy III: I/O System. I/O (Disk) Performance. I/O Device Characteristics. often boring, but still quite important

Readings. Storage Hierarchy III: I/O System. I/O (Disk) Performance. I/O Device Characteristics. often boring, but still quite important Storage Hierarchy III: I/O System Readings reg I$ D$ L2 L3 memory disk (swap) often boring, but still quite important ostensibly about general I/O, mainly about disks performance: latency & throughput

More information

PASTE: A Networking API for Non-Volatile Main Memory

PASTE: A Networking API for Non-Volatile Main Memory PASTE: A Networking API for Non-Volatile Main Memory Michio Honda (NEC Laboratories Europe) Lars Eggert (NetApp) Douglas Santry (NetApp) TSVAREA@IETF 99, Prague May 22th 2017 More details at our HotNets

More information

Overview and Current Topics in Solid State Storage

Overview and Current Topics in Solid State Storage Overview and Current Topics in Solid State Storage Presenter name, company affiliation Presenter Rob name, Peglar company affiliation Xiotech Corporation SNIA Legal Notice The material contained in this

More information

JANUARY 28, 2014, SAN JOSE, CA. Microsoft Lead Partner Architect OS Vendors: What NVM Means to Them

JANUARY 28, 2014, SAN JOSE, CA. Microsoft Lead Partner Architect OS Vendors: What NVM Means to Them JANUARY 28, 2014, SAN JOSE, CA PRESENTATION James TITLE Pinkerton GOES HERE Microsoft Lead Partner Architect OS Vendors: What NVM Means to Them Why should NVM be Interesting to OS Vendors? New levels of

More information

Computer Organization and Structure. Bing-Yu Chen National Taiwan University

Computer Organization and Structure. Bing-Yu Chen National Taiwan University Computer Organization and Structure Bing-Yu Chen National Taiwan University Storage and Other I/O Topics I/O Performance Measures Types and Characteristics of I/O Devices Buses Interfacing I/O Devices

More information

Opportunities from our Compute, Network, and Storage Inflection Points

Opportunities from our Compute, Network, and Storage Inflection Points Opportunities from our Compute, Network, and Storage Inflection Points The Brave New Persistent World Rob Peglar Senior VP & CTO Symbolic IO Santa Clara, CA August 2016 1 Wisdom The Macro Trend Back to

More information

Phase Change Memory: Replacement or Transformational

Phase Change Memory: Replacement or Transformational Phase Change Memory: Replacement or Transformational Hsiang-Lan Lung Macronix International Co., Ltd IBM/Macronix PCM Joint Project LETI 4th Workshop on Inovative Memory Technologies 06/21/2012 PCM is

More information

MANAGING MULTI-TIERED NON-VOLATILE MEMORY SYSTEMS FOR COST AND PERFORMANCE 8/9/16

MANAGING MULTI-TIERED NON-VOLATILE MEMORY SYSTEMS FOR COST AND PERFORMANCE 8/9/16 MANAGING MULTI-TIERED NON-VOLATILE MEMORY SYSTEMS FOR COST AND PERFORMANCE 8/9/16 THE DATA CHALLENGE Performance Improvement (RelaLve) 4.4 ZB Total data created, replicated, and consumed in a single year

More information

Storage. Hwansoo Han

Storage. Hwansoo Han Storage Hwansoo Han I/O Devices I/O devices can be characterized by Behavior: input, out, storage Partner: human or machine Data rate: bytes/sec, transfers/sec I/O bus connections 2 I/O System Characteristics

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Overview and Current Topics in Solid State Storage

Overview and Current Topics in Solid State Storage Overview and Current Topics in Solid State Storage Presenter name, company affiliation Presenter Rob name, Peglar company affiliation Xiotech Corporation SNIA Legal Notice The material contained in this

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface COEN-4710 Computer Hardware Lecture 7 Large and Fast: Exploiting Memory Hierarchy (Chapter 5) Cristinel Ababei Marquette University Department

More information

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS Samira Khan MEMORY IN TODAY S SYSTEM Processor DRAM Memory Storage DRAM is critical for performance

More information

Introduction I/O 1. I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec

Introduction I/O 1. I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec Introduction I/O 1 I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec I/O bus connections I/O Device Summary I/O 2 I/O System

More information

Performance Analysis and Evaluation of Mellanox ConnectX InfiniBand Architecture with Multi-Core Platforms

Performance Analysis and Evaluation of Mellanox ConnectX InfiniBand Architecture with Multi-Core Platforms Performance Analysis and Evaluation of Mellanox ConnectX InfiniBand Architecture with Multi-Core Platforms Sayantan Sur, Matt Koop, Lei Chai Dhabaleswar K. Panda Network Based Computing Lab, The Ohio State

More information

WearDrive: Fast and Energy Efficient Storage for Wearables

WearDrive: Fast and Energy Efficient Storage for Wearables WearDrive: Fast and Energy Efficient Storage for Wearables Reza Shisheie Cleveland State University CIS 601 Wearable Computing: A New Era 2 Wearable Computing: A New Era Notifications Fitness/Healthcare

More information

Key Points. Rotational delay vs seek delay Disks are slow. Techniques for making disks faster. Flash and SSDs

Key Points. Rotational delay vs seek delay Disks are slow. Techniques for making disks faster. Flash and SSDs IO 1 Today IO 2 Key Points CPU interface and interaction with IO IO devices The basic structure of the IO system (north bridge, south bridge, etc.) The key advantages of high speed serial lines. The benefits

More information

Five Key Steps to High-Speed NAND Flash Performance and Reliability

Five Key Steps to High-Speed NAND Flash Performance and Reliability Five Key Steps to High-Speed Flash Performance and Reliability Presenter Bob Pierce Flash Memory Summit 2010 Santa Clara, CA 1 NVM Performance Trend ONFi 2 PCM Toggle ONFi 2 DDR SLC Toggle Performance

More information

I N V E N T I V E. SSD Firmware Complexities and Benefits from NVMe. Steven Shrader

I N V E N T I V E. SSD Firmware Complexities and Benefits from NVMe. Steven Shrader I N V E N T I V E SSD Firmware Complexities and Benefits from NVMe Steven Shrader Agenda Introduction NVMe architectural issues from NVMe functions Structures to model the problem Methods (metadata attributes)

More information

Forging a Future in Memory: New Technologies, New Markets, New Applications

Forging a Future in Memory: New Technologies, New Markets, New Applications Forging a Future in Memory: New Technologies, New Markets, New Applications Ed Doller V.P. Chief Memory Systems Architect Non-Volatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium

More information

FAQs HP Z Turbo Drive Quad Pro

FAQs HP Z Turbo Drive Quad Pro FAQs HP Z Turbo Drive Quad Pro Product performance/implementation What is the HP Z Turbo Drive PCIe SSD? The HP Z Turbo Drive PCIe SSD is the family name for an M.2 PCIe connected SSD. The M.2 PCIe card

More information

The Drive Interface Progress Cycle

The Drive Interface Progress Cycle The Drive Interface Progress Cycle Dan Colegrove December 6, 2005 2005 Hitachi Global Storage Technologies Interface Development Cycle New Interface Feature Development Cycle: Development of the New Feature

More information

SNAP Performance Benchmark and Profiling. April 2014

SNAP Performance Benchmark and Profiling. April 2014 SNAP Performance Benchmark and Profiling April 2014 Note The following research was performed under the HPC Advisory Council activities Participating vendors: HP, Mellanox For more information on the supporting

More information

Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories

Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories Prof. Onur Mutlu http://www.ece.cmu.edu/~omutlu onur@cmu.edu HiPEAC ACACES Summer School 2013 July 17, 2013

More information