Integration of Mixed Criticality Systems on MultiCores: Limitations, Challenges and Way ahead for Avionics

Size: px
Start display at page:

Download "Integration of Mixed Criticality Systems on MultiCores: Limitations, Challenges and Way ahead for Avionics"

Transcription

1 Integration of Mixed Criticality Systems on MultiCores: Limitations, Challenges and Way ahead for Avionics TecDay 13./14. Oct Dietmar Geiger, Bernd Koppenhöfer 1

2 COTS HW Evolution - Single-Core Multi-Core Reassuringly excellent. Single Multi Intel Core I7 Moore s Law Source: Wikipedia, Die freie Enzyklopädie, , 2

3 Challenge From Single-Core to Multi-Core MPC8548 Reassuringly excellent. Proven - predictable Time Partitioning Only one SW is running at a given time P4080 Is this still predictable? Parallel Execution We can not predict the exact timing Source: 3

4 3.5 Worst Case Execution Time computation: Now we can execute the instruction Oh we forgot.. Memory Fetch Memory Page not open Memory Contention Fabric Contention Write buffer full L2 cache dirty L2 cache miss L1 cache miss This will not work!!!

5 Multi-Core Processor (MCP) Research what is going on in Europe? Selection of EU research projects on MCPs in Safety-critical Applications *) *) Automotive, Avionics, Industrial Manufacturing and Logistics, Internet of Things, Space ACROSS: EU funding; 04/2010 to 09/2013; 16 Partner; Total Budget 16 M RECOMP: EU funding; 04/2010 to 03/2013; 41 Partner; Total Budget 26 M ARAMIS: National (German) funding; 12/2011 to 11/2014; Total Budget 37 M EMC 2 : EU funding; 04/2014 to 03/2017; 98 Partner; Total Budget 100 M Other Multi-Core Activities: MCFA (Multi-Cores for Avionics Working Group); since September 2011: AUSTIN, Texas--Freescale Semiconductor (NYSE:FSL) has formed a working group with top North American and European commercial avionics manufacturers to define their information requirements for advanced Freescale multicore processors being used in commercial avionics applications.

6 Avionics System Evolution: From Single to Many Cores Processors ~2020 Equipment 1 Equipment 2 Equipment 3 IMA IMA-G2 App 1 Physical partitioning App 2 App 3 App 1 App 2 App 3 App 1 App 2 App 3 Single CORE Real Time OS Federated Architecture Limitation: Weight, Power, Size Single CORE Robust Partitioning via ARINC 653 OS Segregation in space and time domain Limitation: Performance Dual/Multi CORE A653-1 OS AMP model Challenge: Certification IMA: Integrated Modular Avionics AMP (Asymmetric Multi-processing): Each individual functional process is permanently allocated to a separate core and each core has its own operating system. 6

7 Integrated Modular Avionics (IMA) / Open Architecture Computing Platform Computing platform without applications Small size & low weight Low power consumption High computing power Various I/O types Allows integration of different applications CERTIFICATION - DO254 / DO178C - DO297?? 7

8 IMA Certification Challenges Highly integrated, complex system Higher risk for development errors and unintended effects Development of a finite test suite it is not practical (or impossible) Numerical methods for characterizing errors are not available Independence between functions, systems or items may be required to satisfy safety or regulatory requirements For catastrophic failure conditions common cause events must be precluded Independence must be shown by Common Cause Analysis Guidance: ARP 4754A However, for economical reasons we want to integrate and certify (parts of) independent aircraft functions/systems in one computing platform Guidance: DO297 8

9 DO297 suggestions wrt. shared resources Use partitioning Resources may be shared by the method of access time (acc. to ARINC653) Problem: A shared resource has the potential to become a single point of failure Solution: Use robust SW partitioning A SW partition must not contaminate the code, I/O or data storage areas of other partitions A SW partition is allowed to consume shared processor resources only during its allocated time A SW partition shall only consume its allocation of shared I/O resources Failures of SW unique to one SW partition must not cause adverse effects on other SW partitions Approved Method: Time and Space Partitioning Works on SingleCore but on MultiCore? 9

10 DO297 suggestions wrt. shared resources (2) The objective of robust partitioning is to provide the same level of functional, if not physical, isolation and protection as on federated architectures (DO ) Overview of certification process (DO ) Task 1: Module Acceptance Verification of the partitioning of the Computing Platform Without detailed knowledge of the applications Task 2: indiv. Application SW/HW Acceptance Task 3: IMA System Acceptance Task 4: Aircraft integration of IMA System (including validation and verification) Task 5:.. Change Task 6:.. Reuse Incremental Certification Certification of IMA is not an easy task It gets even worse if State-of-the-art COTS MCPs are used 10

11 4. Authority View DOT/FAA/AR-10/21 MICROPROCESSOR EVALUATIONS FOR SAFETY-CRITICAL, REAL- TIME APPLICATIONS: (Sept 2010) Because complete knowledge of the evaluated devices cannot be acquired, it must be assumed that they will malfunction and/or fail. This research defines safety net as the ability to demonstrate and protect against unintended/misleading device behavior at a level above the microprocessor/soc through an appropriate combination of board and system-level architecture. Possible Safety Net implementations: External monitoring of safety-related behavior Redundancy External watchdogs Architectures that allows run-time correction

12 4.1 Authority View - CAST 32 Limitations: Harmonized between EASA and FAA c. More than Two Active Cores The paper has not yet been extended for MCPs with more than two active cores. d. Single Systems Applicability Additional considerations beyond what is documented in this paper may be required for MCPs used in integrated modular avionics (IMA) applications The Certification Authorities are not currently aware of any MCP hardware and software implementations that would allow applications from more than one system to be partitioned in time on an MCP in the way that time partitioning is currently ensured for the applications of an IMA on a single core processor (SCP). f. Use of any MCP with Only One Core Activated Applicants intending to install an MCP but to only install software on one of the cores should ensure that any core without any software installed on it is deactivated and that any deactivated core does not interfere with the activated core or with the software hosted on it. 12

13 4.1 Authority View - CAST 32 Objectives to be fulfilled 16 objectives have to be fulfilled for determinism including configuration setting, errata data, hypervisors, interference channels, shared memory/cache, shared resources and coherency mechanisms. 6 objectives are defined for Software including SW plans, Verification plan, <incremental verification> applicability of RTCA/DO178C, data and control coupling and robustness testing. 2 objectives are defined for error monitoring and handling including safety net and availability 13

14 usage of state-of-the-art COTS Processors Stay with single Core processors Not really a long term solution Use deterministic MCPs Where is the Chip Vendor / Market (see results of ACROSS project) Use core intrinsic Resources (Cache) only It is not easy to find appropriate applications Does not help at conflicts with external resources Gain in-service experience of COTS MCPs How many hours do we need? In which configuration? No good COTS candidates exist, see results of RECOMP project Use COTS MCPs with System Safety Net Monitoring and mitigation on system level Application specific Use COTS MCPs with HW Safety Net Monitoring of the device function independent of the application on HW-level Versatile approach. However: Further research required, see EMC 2 project 14 14

15 Presentation Title runs here (go to Header & Footer to edit this text) Current Negotiations with Certification Authorities Industry sees CAST 32 as too stringent Negotiations between ASD (AeroSpace and Defence Industries Association of Europe), AIA (Aerospace Industry Association), GAMA, EASA, FAA Proposal prepared 3 Objectives for planning 4 Objectives for resource usage 2 Objectives for SW 1 Objective for Error Handling 1 Objective for Accomplishment Summary Interference channels are still an issue Next Meeting in Köln November October 2015

16 EMC 2 MCP-internal Monitoring Use on-chip debug facilities to implement a bandwidth and timing monitoring Display Monitor Processor (DAL-A) MS Flight Simulator Nexus IF DVI Position, Altitude, Airspeed (ARINC-429) Multicore Processor (Dual Core) Freescale P5020 e5500 core (2.0 GHz) e5500 core (2.0 GHz) GPU AMD E Map data

17 Summary Certification on MulitCore Processors is still an issue Despite several research projects there is still no generic solution Authorities are aware of the issue Very detailed knowledge/investigations necessary Processor resources Interference Channels SW architecture Safety Net seems to be mandatory Possible solution under investigation in frame of EMC2 research project 17 17

18 Thank you for your attention! Questions? Info: Bernd Koppenhoefer Dietmar Geiger Computing Platforms for Sensors Computing Platforms for Sensors Phone.: / Phone.: / Bernd.Koppenhoefer@airbus.com Dietmar.Geiger@airbus.com The reproduction, distribution and utilization of this document as well as the communication of its contents to others without express authorization is prohibited. Offenders will be held liable for the payment of damages. All rights reserved in the event of the grant of a patent, utility model or design

19 Appendix Measurement Results on MultiCores 19

20 Measurements Loading Test Program on Multicore Thread is only allowed to run on Core 2 This program now generates the two measuring threads T2 Thread code contains synchronisation points in order to align execution of both threads Core 2 Test Program Thread 2 As soon as main thread of program has finished, core 2 can run thread2 Core 1 Setup of Target System Kernel T1 Shell Thread is only allowed to run on Core 1 Thread 1 Both cores running in Parallel Loading code to target system with debugger Instruct shell to load Test Program with the desired Parameter As soon as shell was terminated, core 1 can run thread1 Exit command (Terminate shell) t 0 t 20

21 Measurements P5020 Block Diagram Processing cores with L1 Cache & L2 Cache L3 Cache (CPC) 21

22 Conclusion Interferences between cores identified! The execution time increased significantly by another synchronous SW task running in parallel. Setup of DMA causes a slight asynchrony between cores which reduces the interference effects The execution time increased slightly by an independent DMA access to main memory. 4 test setups in combination with different cache settings are compared for influence on the execution time. 22

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications Marc Gatti, Thales Avionics Sylvain Girbal, Xavier Jean, Daniel Gracia Pérez, Jimmy

More information

Mastering The Behavior of Multi-Core Systems to Match Avionics Requirements

Mastering The Behavior of Multi-Core Systems to Match Avionics Requirements www.thalesgroup.com Mastering The Behavior of Multi-Core Systems to Match Avionics Requirements Hicham AGROU, Marc GATTI, Pascal SAINRAT, Patrice TOILLON {hicham.agrou,marc-j.gatti, patrice.toillon}@fr.thalesgroup.com

More information

SUCCESSFULL MULTICORE CERTIFICATION WITH SOFTWARE-PARTITIONING Efficient Implementation for DO-178C, EN 50128, ISO 26262

SUCCESSFULL MULTICORE CERTIFICATION WITH SOFTWARE-PARTITIONING Efficient Implementation for DO-178C, EN 50128, ISO 26262 Sven Nordhoff, SYSGO AG, Klein-Winternheim, Germany ABSTRACT The usage of multi-core processors (MCPs) in modern systems is state-of-the art and will also come to reality in safetycritical domains like

More information

Multicore ARM Processors for Safety Critical Avionics

Multicore ARM Processors for Safety Critical Avionics Multicore ARM Processors for Safety Critical Avionics Gary Gilliland DDC-I Technical Marketing Manger This is a non-itar presentation, for public release and reproduction from FSW website. 1 Gary Gilliland

More information

Deos SafeMCTM. - Flight Software Workshop - Thursday December 7 th, Safety Critical Software Solutions for Mission Critical Systems

Deos SafeMCTM. - Flight Software Workshop - Thursday December 7 th, Safety Critical Software Solutions for Mission Critical Systems Deos SafeMCTM Real-Time DO 178C DAL A Operating System for Safety-Critical Multicore Avionics Systems (ARINC 653 and RTEMS POSIX APIS) Presenter : Theresa Rickman Military Aerospace Accounts - Flight Software

More information

Single Core Equivalence Framework (SCE) For Certifiable Multicore Avionics

Single Core Equivalence Framework (SCE) For Certifiable Multicore Avionics Single Core Equivalence Framework (SCE) For Certifiable Multicore Avionics http://rtsl-edge.cs.illinois.edu/sce/ a collaboration of: Presenters: Lui Sha, Marco Caccamo, Heechul Yun, Renato Mancuso, Jung-Eun

More information

Applying MILS to multicore avionics systems

Applying MILS to multicore avionics systems Applying MILS to multicore avionics systems Eur Ing Paul Parkinson FIET Principal Systems Architect, A&D EuroMILS Workshop, Prague, 19 th January 2016 2016 Wind River. All Rights Reserved. Agenda A Brief

More information

Position Paper. Minimal Multicore Avionics Certification Guidance

Position Paper. Minimal Multicore Avionics Certification Guidance Position Paper On Minimal Multicore Avionics Certification Guidance Lui Sha and Marco Caccamo University of Illinois at Urbana-Champaign Greg Shelton, Marc Nuessen, J. Perry Smith, David Miller and Richard

More information

AUTOBEST: A microkernel-based system (not only) for automotive applications. Marc Bommert, Alexander Züpke, Robert Kaiser.

AUTOBEST: A microkernel-based system (not only) for automotive applications. Marc Bommert, Alexander Züpke, Robert Kaiser. AUTOBEST: A microkernel-based system (not only) for automotive applications Marc Bommert, Alexander Züpke, Robert Kaiser vorname.name@hs-rm.de Outline Motivation AUTOSAR ARINC 653 AUTOBEST Architecture

More information

Distributed IMA with TTEthernet

Distributed IMA with TTEthernet Distributed IMA with thernet ARINC 653 Integration of thernet Georg Gaderer, Product Manager Georg.Gaderer@tttech.com October 30, 2012 Copyright TTTech Computertechnik AG. All rights reserved. Introduction

More information

Mercury Mission Systems BuildSAFE Graphics Suite Multicore Software Renderer Scott Engle Director of Business Development

Mercury Mission Systems BuildSAFE Graphics Suite Multicore Software Renderer Scott Engle Director of Business Development Mercury Mission Systems BuildSAFE Graphics Suite Multicore Software Renderer Scott Engle Director of Business Development Mercury acquires Richland Technologies to compliment MMSI Mercury Mission Systems

More information

REDUCING CERTIFICATION GRANULARITY TO INCREASE ADAPTABILITY OF AVIONICS SOFTWARE

REDUCING CERTIFICATION GRANULARITY TO INCREASE ADAPTABILITY OF AVIONICS SOFTWARE REDUCING CERTIFICATION GRANULARITY TO INCREASE ADAPTABILITY OF AVIONICS SOFTWARE Martin Rayrole, David Faura, Marc Gatti, Thales Avionics, Meudon la Forêt, France Abstract A strong certification process

More information

Applying Multi-core and Virtualization to Industrial and Safety-Related Applications

Applying Multi-core and Virtualization to Industrial and Safety-Related Applications White Paper Wind River Hypervisor and Operating Systems Intel Processors for Embedded Computing Applying Multi-core and Virtualization to Industrial and Safety-Related Applications Multi-core and virtualization

More information

T1042-based Single Board Computer

T1042-based Single Board Computer T1042-based Single Board Computer High Performance/Low Power DO-254 Certifiable SBC IP Features and Benefits Part of the COTS-D family of safety certifiable modules Single conduction-cooled rugged module

More information

Incremental Functional Certification (IFC) on Integrated Modular Avionics (IMA)

Incremental Functional Certification (IFC) on Integrated Modular Avionics (IMA) IFC on IMA AMS/13/000584 ir 00 www.thalesgroup.com Incremental Functional Certification (IFC) on Integrated Modular Avionics (IMA) WICERT Grenoble March 22, 2013 Franck Aimé Bottom-Up Based 2 / Context

More information

E9171-based Graphics/Compute Engine

E9171-based Graphics/Compute Engine Product Overview E9171-based Graphics/Compute Engine Compact, Power Efficient DO-254 Certifiable GPU Module IP Features and Benefits Part of the COTS-D family of safety certifiable modules A compact GPU

More information

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 EMC2 Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 Introduction Multi-core architectures will be adopted in the next generations of avionics and aerospace systems. Integrated

More information

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy marko.bertogna@unimore.it http://hipert.unimore.it/ HiPeRT Lab Research on High-Performance Real-Time Systems ~20 people

More information

A Data-Centric Approach for Modular Assurance Abstract. Keywords: 1 Introduction

A Data-Centric Approach for Modular Assurance Abstract. Keywords: 1 Introduction A Data-Centric Approach for Modular Assurance Gabriela F. Ciocarlie, Heidi Schubert and Rose Wahlin Real-Time Innovations, Inc. {gabriela, heidi, rose}@rti.com Abstract. A mixed-criticality system is one

More information

Development Guidance and Certification Considerations

Development Guidance and Certification Considerations Integrated Modular Avionics Development Guidance and Certification Considerations René L.C. Eveleens National Aerospace Laboratory NLR P.O. Box 90502 1006BM Amsterdam RTO SCI LS-176: Mission System Engineering

More information

November 16, TTTech Computertechnik AG / TTTech Auto AG Copyright TTTech Auto AG. All rights reserved

November 16, TTTech Computertechnik AG / TTTech Auto AG Copyright TTTech Auto AG. All rights reserved Future Mobility Approaches and Required Developments for On-board Networks and ADAS Computers Andreas ECKEL, Teamlead Grants Jan RADKE, Cooperation Manager TTTech Computertechnik AG / TTTech Auto AG November

More information

Achieving safe, certified, multicore avionics systems with Separation Kernel Hypervisors

Achieving safe, certified, multicore avionics systems with Separation Kernel Hypervisors Safety & Security for the Connected World Achieving safe, certified, multicore avionics systems with Separation Kernel Hypervisors 13 October 2015 Mark Pitchford, Technical Manager, EMEA Achieving safe,

More information

Communication Patterns in Safety Critical Systems for ADAS & Autonomous Vehicles Thorsten Wilmer Tech AD Berlin, 5. March 2018

Communication Patterns in Safety Critical Systems for ADAS & Autonomous Vehicles Thorsten Wilmer Tech AD Berlin, 5. March 2018 Communication Patterns in Safety Critical Systems for ADAS & Autonomous Vehicles Thorsten Wilmer Tech AD Berlin, 5. March 2018 Agenda Motivation Introduction of Safety Components Introduction to ARMv8

More information

Plattformübergreifende Softwareentwicklung für heterogene Multicore-Systeme

Plattformübergreifende Softwareentwicklung für heterogene Multicore-Systeme Plattformübergreifende Softwareentwicklung für heterogene Multicore-Systeme Dr.-Ing. Timo Stripf 1 Managing Director Technolgy Outline Multicore Motivation Automatic Parallelization Interactive Parallelization

More information

Reconfiguration as a mean to enhance platform availability

Reconfiguration as a mean to enhance platform availability SCAlable & ReconfigurabLe Electronics platforms and Tools - Towards the next generation of Integrated Modular Avionics Reconfiguration as a mean to enhance platform availability Workshop APRES 2009 Pierre

More information

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools Simplifying the Development and Debug of 8572-Based SMP Embedded Systems Wind River Workbench Development Tools Agenda Introducing multicore systems Debugging challenges of multicore systems Development

More information

What Software Requires from Multicore for Certification - overview for the domains avionics, medical in comparison to automotive,...

What Software Requires from Multicore for Certification - overview for the domains avionics, medical in comparison to automotive,... What Software Requires from Multicore for Certification - overview for the domains avionics, medical in comparison to automotive,... Matthias Pruksch Motivation Collision Avoidance System [1] Mobile Diagnosis

More information

WIND RIVER ANSWERS TO 50 QUESTIONS TO ASK YOUR ARINC 653 VENDOR

WIND RIVER ANSWERS TO 50 QUESTIONS TO ASK YOUR ARINC 653 VENDOR AN INTEL COMPANY WIND RIVER ANSWERS TO 50 QUESTIONS TO ASK YOUR ARINC 653 VENDOR Wind River is the market-leading ARINC 653 solutions provider with good financial health, technical capability, a strong

More information

Ensuring Schedulability of Spacecraft Flight Software

Ensuring Schedulability of Spacecraft Flight Software Ensuring Schedulability of Spacecraft Flight Software Flight Software Workshop 7-9 November 2012 Marek Prochazka & Jorge Lopez Trescastro European Space Agency OUTLINE Introduction Current approach to

More information

CNES requirements w.r.t. Next Generation General Purpose Microprocessor

CNES requirements w.r.t. Next Generation General Purpose Microprocessor Round-table on Next Generation Microprocessors for Space Applications : CNES requirements w.r.t. Next Generation General Purpose Microprocessor ESA/ESTEC september 12th 2006 G.Moury, J.Bertrand, M.Pignol

More information

E9171-based Graphics Processor

E9171-based Graphics Processor E9171-based Graphics Processor 3U VPX High Performance DO-254 Certifiable Graphics Module IP Features and Benefits Part of the COTS-D family of safety certifiable modules A compact graphics processor providing

More information

Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking

Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking Di-Shi Sun and Douglas M. Blough School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA

More information

Reaching for the sky with certified and safe solutions for the aerospace market

Reaching for the sky with certified and safe solutions for the aerospace market www.tttech.com/aerospace Reaching for the sky with certified and safe solutions for the aerospace market More about our certified and safe products inside Advancing safe technologies, improving human lives

More information

Certification Authorities Software Team (CAST) Position Paper CAST-25

Certification Authorities Software Team (CAST) Position Paper CAST-25 Certification Authorities Software Team (CAST) Position Paper CAST-25 CONSIDERATIONS WHEN USING A QUALIFIABLE DEVELOPMENT ENVIRONMENT (QDE) IN CERTIFICATION PROJECTS COMPLETED SEPTEMBER 2005 (Rev 0) NOTE:

More information

AUTOBEST: A United AUTOSAR-OS And ARINC 653 Kernel. Alexander Züpke, Marc Bommert, Daniel Lohmann

AUTOBEST: A United AUTOSAR-OS And ARINC 653 Kernel. Alexander Züpke, Marc Bommert, Daniel Lohmann AUTOBEST: A United AUTOSAR-OS And ARINC 653 Kernel Alexander Züpke, Marc Bommert, Daniel Lohmann alexander.zuepke@hs-rm.de, marc.bommert@hs-rm.de, lohmann@cs.fau.de Motivation Automotive and Avionic industry

More information

FAA Order Simple And Complex Electronic Hardware Approval Guidance. Federal Aviation Administration

FAA Order Simple And Complex Electronic Hardware Approval Guidance. Federal Aviation Administration FAA Order 8110.105 Simple And Complex Electronic Hardware Presented to: FAA Software and Airborne Electronic Hardware Conference, Denver By: Gregg Bartley FAA, ANM-111/AIR-120 Date: Why is this Order necessary?

More information

MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS

MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS INSTRUCTOR: Dr. MUHAMMAD SHAABAN PRESENTED BY: MOHIT SATHAWANE AKSHAY YEMBARWAR WHAT IS MULTICORE SYSTEMS? Multi-core processor architecture means placing

More information

List of proposed requirements for Avionics domain Annex D1.1.b to deliverable D1.1

List of proposed requirements for Avionics domain Annex D1.1.b to deliverable D1.1 Collaborative Large-scale Integrating Project Open Platform for EvolutioNary Certification Of Safety-critical Systems List of proposed requirements for domain to deliverable D1.1 Work Package: WP1: Use

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Comparing Memory Systems for Chip Multiprocessors

Comparing Memory Systems for Chip Multiprocessors Comparing Memory Systems for Chip Multiprocessors Jacob Leverich Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Computer Systems Laboratory Stanford University

More information

Challenges in Future Avionic Systems on Multi-core Platforms

Challenges in Future Avionic Systems on Multi-core Platforms 2014 IEEE International Symposium on Software Reliability Engineering Workshops Challenges in Future Avionic Systems on Multi-core Platforms Andreas Löfwenmark Avionics Equipment Saab Aeronautics Linköping,

More information

SAP HANA tailored data center integration Frequently Asked Questions

SAP HANA tailored data center integration Frequently Asked Questions SAP HANA tailored data center integration Frequently Asked Questions Version 1.0 Last update: 13 May, 2013 TABLE OF CONTENTS GENERAL INFORMATION... 3 1. What is SAP HANA tailored data center integration?

More information

GPM0002 E9171-based Graphics/Compute Engine

GPM0002 E9171-based Graphics/Compute Engine GPM0002 E9171-based Graphics/Compute Engine Compact, Power Efficient DO-254/ED-80 Certifiable GPU Module IP Features and Benefits Part of the COTS-D family of safety certifiable modules A compact GPU module

More information

COTS Multicore Processors in Avionics Systems: Challenges and Solutions

COTS Multicore Processors in Avionics Systems: Challenges and Solutions COTS Multicore Processors in Avionics Systems: Challenges and Solutions Dionisio de Niz Bjorn Andersson and Lutz Wrage dionisio@sei.cmu.edu, baandersson@sei.cmu.edu, lwrage@sei.cmu.edu Report Documentation

More information

4. Hardware Platform: Real-Time Requirements

4. Hardware Platform: Real-Time Requirements 4. Hardware Platform: Real-Time Requirements Contents: 4.1 Evolution of Microprocessor Architecture 4.2 Performance-Increasing Concepts 4.3 Influences on System Architecture 4.4 A Real-Time Hardware Architecture

More information

Real-Time Cache Management for Multi-Core Virtualization

Real-Time Cache Management for Multi-Core Virtualization Real-Time Cache Management for Multi-Core Virtualization Hyoseung Kim 1,2 Raj Rajkumar 2 1 University of Riverside, California 2 Carnegie Mellon University Benefits of Multi-Core Processors Consolidation

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

IDT Using the Tsi310 TM to Optimize I/O Adapter Card Designs

IDT Using the Tsi310 TM to Optimize I/O Adapter Card Designs IDT Using the Tsi310 TM to Optimize I/O Adapter Card Designs September 19, 2009 6024 Silver Creek Valley Road San Jose, California 95138 Telephone: (408) 284-8200 FAX: (408) 284-3572 Printed in U.S.A.

More information

New ARMv8-R technology for real-time control in safetyrelated

New ARMv8-R technology for real-time control in safetyrelated New ARMv8-R technology for real-time control in safetyrelated applications James Scobie Product manager ARM Technical Symposium China: Automotive, Industrial & Functional Safety October 31 st 2016 November

More information

Simplify rich applications & hard real-time

Simplify rich applications & hard real-time Boards & Solutions Conference 2012 Simplify rich applications & hard real-time F&S Elektronik Systeme GmbH Untere Waldplätze 23 D-70569 Stuttgart Tel. (+49)(0)711 123722-0 Speaker: Dipl.Ing.(FH) Martin

More information

SAE AS5643 and IEEE1394 Deliver Flexible Deterministic Solution for Aerospace and Defense Applications

SAE AS5643 and IEEE1394 Deliver Flexible Deterministic Solution for Aerospace and Defense Applications SAE AS5643 and IEEE1394 Deliver Flexible Deterministic Solution for Aerospace and Defense Applications Richard Mourn, Dap USA Inc. AS5643 coupled with IEEE-1394 Asynchronous Stream capability provides

More information

Multicore platform towards automotive safety challenges

Multicore platform towards automotive safety challenges Multicore platform towards automotive safety challenges Romuald NOZAHIC European Application Engineer mentor.com/automotive Android is a trademark of Google Inc. Use of this trademark is subject to Google

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Integrated Modular Avionics Development Guidance and Certification Considerations

Integrated Modular Avionics Development Guidance and Certification Considerations René L.C. Eveleens National Aerospace Laboratory NLR P.O. Box 90502 1006BM Amsterdam Netherlands eveleens@nlr.nl ABSTRACT From 2001 to 2005 a working group within the European Organisation for Civil Aviation

More information

Aviation Cyber Security Efforts

Aviation Cyber Security Efforts Aviation Cyber Security Efforts Airlines Electronic Engineering Committee (AEEC) Rev version H: May 2018 Paul J. Prisaznuk AEEC Executive Secretary and Program Director This document summarizes the efforts

More information

WIND RIVER VXWORKS MULTI-CORE EDITION

WIND RIVER VXWORKS MULTI-CORE EDITION WIND RIVER VXWORKS 653 3.0 MULTI-CORE EDITION TABLE OF CONTENTS RTCA DO-178C Certification Evidence... 2 VxWorks 653 3.0 Multi-core Edition Benefits... 2 VxWorks 653 Runtime Components... 4 VxWorks 653

More information

GPM0001 E9171 GPU-based Processor Module

GPM0001 E9171 GPU-based Processor Module GPM0001 E9171 GPU-based Processor Module DO-254 Certifiable 3U VPX Graphics/Compute Module IP Features and Benefits Part of the COTS-D family of safety certifiable modules A compact GPU Processing Module

More information

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Project n 100021 Astrit Ademaj, TTTech Computertechnik AG Outline GENESYS requirements

More information

Enabling Increased Safety with Fault Robustness in Microcontroller Applications

Enabling Increased Safety with Fault Robustness in Microcontroller Applications Enabling Increased Safety with Fault Robustness in Microcontroller Applications Wayne Lyons ARM 110 Fulbourn Road Cambridge CB1 9NJ, England Abstract All safety-critical or high-reliability applications

More information

Isolation of Cores. Reduce costs of mixed-critical systems by using a divide-and-conquer startegy on core level

Isolation of Cores. Reduce costs of mixed-critical systems by using a divide-and-conquer startegy on core level Isolation of s Reduce costs of mixed-critical systems by using a divide-and-conquer startegy on core level Claus Stellwag, Elektrobit Automotive GmbH; Thorsten Rosenthal, Delphi; Swapnil Gandhi, Delphi

More information

HOMEPLANE Home Media Platform and Networks

HOMEPLANE Home Media Platform and Networks HOMEPLANE Home Media Platform and Networks funded by the German Federal Ministry of Economics and Technology Home Media Platform and Networks Simply Works Enhanced WLAN for multimedia distribution Automatic

More information

V850ES/Fx2. Customer Notification. 32-bit Single-Chip Microcontrollers. Operating Precautions. µpd70323x

V850ES/Fx2. Customer Notification. 32-bit Single-Chip Microcontrollers. Operating Precautions. µpd70323x Customer Notification V850ES/Fx2 32-bit Single-Chip Microcontrollers Operating Precautions µpd70f323x, µpd70323x Document No. TPS-HE-B-2590 Date Published August 2006 NEC Electronics (Europe) GmbH DISCLAIMER

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Evaluating Multicore Architectures for Application in High Assurance Systems

Evaluating Multicore Architectures for Application in High Assurance Systems Evaluating Multicore Architectures for Application in High Assurance Systems Ryan Bradetich, Paul Oman, Jim Alves-Foss, and Theora Rice Center for Secure and Dependable Systems University of Idaho Contact:

More information

3 August Software Safety and Security Best Practices A Case Study From Aerospace

3 August Software Safety and Security Best Practices A Case Study From Aerospace 3 August 2014 Software Safety and Security Best Practices A Case Study From Aerospace Agenda Introduction Why Aviation? ARINC 653 Real-time Linux on Xen (ARLX) Safety Artifacts for ARLX Security Artifacts

More information

Concurrency, Mutual Exclusion and Synchronization C H A P T E R 5

Concurrency, Mutual Exclusion and Synchronization C H A P T E R 5 Concurrency, Mutual Exclusion and Synchronization C H A P T E R 5 Multiple Processes OS design is concerned with the management of processes and threads: Multiprogramming Multiprocessing Distributed processing

More information

Multi-core Programming Evolution

Multi-core Programming Evolution Multi-core Programming Evolution Based on slides from Intel Software ollege and Multi-ore Programming increasing performance through software multi-threading by Shameem Akhter and Jason Roberts, Evolution

More information

On-Chip Debugging of Multicore Systems

On-Chip Debugging of Multicore Systems Nov 1, 2008 On-Chip Debugging of Multicore Systems PN115 Jeffrey Ho AP Technical Marketing, Networking Systems Division of Freescale Semiconductor, Inc. All other product or service names are the property

More information

FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges

FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges Bob Blainey IBM Software Group 27 Feb 2011 FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges Workshop on The Role of FPGAs in a Converged Future with Heterogeneous Programmable

More information

Challenges of FSW Schedulability on Multicore Processors

Challenges of FSW Schedulability on Multicore Processors Challenges of FSW Schedulability on Multicore Processors Flight Software Workshop 27-29 October 2015 Marek Prochazka European Space Agency MULTICORES: WHAT DOES FLIGHT SOFTWARE ENGINEER NEED? Space-qualified

More information

Real Safe Times in the Jailhouse Hypervisor Unrestricted Siemens AG All rights reserved

Real Safe Times in the Jailhouse Hypervisor Unrestricted Siemens AG All rights reserved Siemens Corporate Technology Real Safe Times in the Jailhouse Hypervisor Real Safe Times in the Jailhouse Hypervisor Agenda Jailhouse introduction Safe isolation Architecture support Jailhouse application

More information

Handling Challenges of Multi-Core Technology in Automotive Software Engineering

Handling Challenges of Multi-Core Technology in Automotive Software Engineering Model Based Development Tools for Embedded Multi-Core Systems Handling Challenges of Multi-Core Technology in Automotive Software Engineering VECTOR INDIA CONFERENCE 2017 Timing-Architects Embedded Systems

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Eric Wang Sr. Technical Marketing Manager Tech Symposia China 2015 November 2015 Agenda Introduction Security Foundations on ARM Cortex -M Security Foundations

More information

GDPR Processor Security Controls. GDPR Toolkit Version 1 Datagator Ltd

GDPR Processor Security Controls. GDPR Toolkit Version 1 Datagator Ltd GDPR Processor Security Controls GDPR Toolkit Version 1 Datagator Ltd Implementation Guidance (The header page and this section must be removed from final version of the document) Purpose of this document

More information

Alexandre Esper, Geoffrey Nelissen, Vincent Nélis, Eduardo Tovar

Alexandre Esper, Geoffrey Nelissen, Vincent Nélis, Eduardo Tovar Alexandre Esper, Geoffrey Nelissen, Vincent Nélis, Eduardo Tovar Current status MC model gradually gaining in sophistication Current status MC model gradually gaining in sophistication Issue Safety-related

More information

Collecting OpenCL*-related Metrics with Intel Graphics Performance Analyzers

Collecting OpenCL*-related Metrics with Intel Graphics Performance Analyzers Collecting OpenCL*-related Metrics with Intel Graphics Performance Analyzers Collecting Important OpenCL*-related Metrics with Intel GPA System Analyzer Introduction Intel SDK for OpenCL* Applications

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems Reusability Optimization Architectural Mapping Schedulablity Analysis SW Synthesis Simulation

More information

Static analysis of concurrent avionics software

Static analysis of concurrent avionics software Static analysis of concurrent avionics software with AstréeA Workshop on Static Analysis of Concurrent Software David Delmas Airbus 11 September 2016 Agenda 1 Industrial context Avionics software Formal

More information

NVIDIA GPU BOOST FOR TESLA

NVIDIA GPU BOOST FOR TESLA NVIDIA GPU BOOST FOR TESLA DA-06767-001_v02 January 2014 Application Note DOCUMENT CHANGE HISTORY DA-06767-001_v02 Version Date Authors Description of Change 01 March 28, 2013 GG, SM Initial Release 02

More information

Intel Virtualization Technology Roadmap and VT-d Support in Xen

Intel Virtualization Technology Roadmap and VT-d Support in Xen Intel Virtualization Technology Roadmap and VT-d Support in Xen Jun Nakajima Intel Open Source Technology Center Legal Disclaimer INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS.

More information

Intel Learning Series Developer Program Self Verification Program. Process Document

Intel Learning Series Developer Program Self Verification Program. Process Document Intel Learning Series Developer Program Self Verification Program Process Document Information in this document is provided in connection with Intel products. No license, express or implied, by estoppel

More information

Avionics / Avionics Test Specialists

Avionics / Avionics Test Specialists Innovative High Tech Solutions for Leading Edge Aerospace Companies www.icsaero.com Avionics / Avionics Test Specialists Design, Manufacture, and Production of Avionics and Avionics Test & Simulation Instruments

More information

First GENESYS Architectures Implemented in the INDEXYS Project

First GENESYS Architectures Implemented in the INDEXYS Project Project n 100021 First GENESYS Architectures Implemented in the INDEXYS Project An Overview on the Technical Project Contents and Status Quo Andreas Eckel, TTTech Computertechnik AG CROSS FUNDING-PROVIDER

More information

Advanced Computer Networks. End Host Optimization

Advanced Computer Networks. End Host Optimization Oriana Riva, Department of Computer Science ETH Zürich 263 3501 00 End Host Optimization Patrick Stuedi Spring Semester 2017 1 Today End-host optimizations: NUMA-aware networking Kernel-bypass Remote Direct

More information

Mixed Critical Architecture Requirements (MCAR)

Mixed Critical Architecture Requirements (MCAR) Superior Products Through Innovation Approved for Public Release; distribution is unlimited. (PIRA AER200905019) Mixed Critical Architecture Requirements (MCAR) Copyright 2009 Lockheed Martin Corporation

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

SWITCHED ETHERNET TESTING FOR AVIONICS APPLICATIONS. Ken Bisson Troy Troshynski

SWITCHED ETHERNET TESTING FOR AVIONICS APPLICATIONS. Ken Bisson Troy Troshynski SWITCHED ETHERNET TESTING FOR AVIONICS APPLICATIONS Ken Bisson Troy Troshynski 2007 Switched Ethernet is being implemented as an avionics communication architecture. A commercial standard (ARINC-664) and

More information

SCAlable & ReconfigurabLe Electronics platforms and Tools SCARLETT

SCAlable & ReconfigurabLe Electronics platforms and Tools SCARLETT SCAlable & ReconfigurabLe Electronics platforms and Tools SCARLETT From the Integrated Modular Avionics the First Generation architecture to the Distributed Modular Electronics solution Page 1 Collaborative

More information

Fundamentals of HW-based Security

Fundamentals of HW-based Security Fundamentals of HW-based Security Udi Maor CryptoCell-7xx Product Manager Systems and SW Group ARM Tech Forum 2016 - Korea Jun. 28, 2016 What is system security design? Every system design will require

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

What are Embedded Systems? Lecture 1 Introduction to Embedded Systems & Software

What are Embedded Systems? Lecture 1 Introduction to Embedded Systems & Software What are Embedded Systems? 1 Lecture 1 Introduction to Embedded Systems & Software Roopa Rangaswami October 9, 2002 Embedded systems are computer systems that monitor, respond to, or control an external

More information

High Quality Positioning: a Key to Success for Autonomous Driving

High Quality Positioning: a Key to Success for Autonomous Driving High Quality Positioning: a Key to Success for Autonomous Driving SaPPART final workshop Alberto Fernandez Wyttenbach Brussels, 4 October 2017 This presentation can be interpreted only together with the

More information

Exploring Modular Tickless Prioritized Preemptive RTOS for Avionics. 1 Abstract. Contents

Exploring Modular Tickless Prioritized Preemptive RTOS for Avionics. 1 Abstract. Contents Exploring Modular Tickless Prioritized Preemptive RTOS for Avionics March 13, 2016 1 Exploring Modular Tickless Prioritized Preemptive RTOS for Avionics 1 Abstract This paper explores using Modular Tickless

More information

Module 18: "TLP on Chip: HT/SMT and CMP" Lecture 39: "Simultaneous Multithreading and Chip-multiprocessing" TLP on Chip: HT/SMT and CMP SMT

Module 18: TLP on Chip: HT/SMT and CMP Lecture 39: Simultaneous Multithreading and Chip-multiprocessing TLP on Chip: HT/SMT and CMP SMT TLP on Chip: HT/SMT and CMP SMT Multi-threading Problems of SMT CMP Why CMP? Moore s law Power consumption? Clustered arch. ABCs of CMP Shared cache design Hierarchical MP file:///e /parallel_com_arch/lecture39/39_1.htm[6/13/2012

More information

Towards a European Strategy for Cyber Physical Systems

Towards a European Strategy for Cyber Physical Systems Towards a European Strategy for Cyber Physical Systems Concertation Workshop on Mixed Criticality Systems and Multicore Distributed REal time Architecture for Mixed criticality Systems (DREAMS) Roman Obermaisser

More information

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Parallel Simulation Accelerates Embedded Software Development, Debug and Test Parallel Simulation Accelerates Embedded Software Development, Debug and Test Larry Lapides Imperas Software Ltd. larryl@imperas.com Page 1 Modern SoCs Have Many Concurrent Processing Elements SMP cores

More information

Trustzone Security IP for IoT

Trustzone Security IP for IoT Trustzone Security IP for IoT Udi Maor CryptoCell-7xx product manager Systems & Software Group ARM Tech Forum Singapore July 12 th 2017 Why is getting security right for IoT so important? When our everyday

More information

Taming Non-blocking Caches to Improve Isolation in Multicore Real-Time Systems

Taming Non-blocking Caches to Improve Isolation in Multicore Real-Time Systems Taming Non-blocking Caches to Improve Isolation in Multicore Real-Time Systems Prathap Kumar Valsan, Heechul Yun, Farzad Farshchi University of Kansas 1 Why? High-Performance Multicores for Real-Time Systems

More information