On-Chip Instrumentation

Size: px
Start display at page:

Download "On-Chip Instrumentation"

Transcription

1 On-Chip Instrumentation

2

3 Neal Stollon On-Chip Instrumentation Design and Debug for Systems on Chip

4 Neal Stollon HDL Dynamics, Dallas TX, USA ARM9, Coresight, ETM, ETM9, MMD are trademarks or registered trademarks of ARM Holdings plc. All rights reserved. EJTAG, HyperDebug, MIPS64, MIPS32, OCI, PDtrace, RRT are trademarks or registered trademarks of MIPS Technologies, Inc. All rights reserved. OSCAN, CDX, BDX are trademarks or registered trademarks of IEEE Working Group. All rights reserved. TCODE, NPC are trademarks or registered trademarks of IEEE 5001 and Nexus 5001 Forum. All rights reserved. ONCE, mxc are trademarks or registered trademarks of Freescale Inc. All rights reserved. OCDS, MCDS, Cerebus, PCP2 are trademarks or registered trademarks of Infineon Technologies AG. All rights reserved. Any other third party trademarks remain the property of their respective owners All copyrights on images, graphics, descriptions, products, and brands remain property of their respective owners. No infringement of rights is intended or implied. ISBN e-isbn DOI / Springer New York Dordrecht Heidelberg London Springer Science+Business Media, LLC 2011 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer Science+Business Media (

5 Preface When I started this book, I thought I understood the world of on-chip debug after all, I had been part of one of the leading startups in the area for 5 years and had been a participant in a number of standard and industry organizations that were leading the world of on-chip debug and instrumentation into the next wave. As I gathered my materials, I grew more impressed by the day and by the month at the body of work that this topic has accumulated, in industry and in academia, in every nook and cranny of the embedded systems business, from embedded processor, to bus architecture, to FPGA, to IP development; engineers have developed and customized a truly impressive range of on-chip debug and instrumentation solutions to address and support their products and to enable an increasingly capable infrastructure that does much more than the prosaic word debug implies and starts to address the full potential of what on-chip instrumentation can truly provide for the electronics industry. This book came about, in part, because of the lack of a comprehensive discussion of on-chip debug instrumentation. This seems to have been an area where the experts come about from on-the-job experience and in ad hoc methods. On-chip debug is an integral part of most modern processor and system on-chip (SoC) design, but in my experience it is not a topic given in-depth discussion in engineering school (universities take note). Most engineers experience of on-chip debug is limited to plugging into the JTAG port and running the software, with little understanding of what goes on within. This text tries to provide a general overview of the different types of on-chip debug that goes into a design. This book is structured into three main sections; the first, Chaps. 1 7, is an introduction to the variety of concepts that make up on-chip debug, in particular looking at some of the history and well-established infrastructure, including an overview of JTAG from a debug, rather than test, point of view. It also looks at aspects of processorand bus-level instrumentation and discusses multicore on-chip debug issues The second section, Chaps. 8 11, addresses a number of the standards and industry efforts that are ongoing in areas ranging from instrument interfaces to JTAG advances, some of which, like Nexus and OCP-IP, I have been involved in, and others that have been a learning experience for me over the last year, all of which I believe will form the core basis for the next generation of on-chip debug. The third section, Chaps , is a survey of some of the wide variety of commercially v

6 vi Preface supported solutions for on-chip debug, addressing a limited cross section of the types of on-chip instruments that are available for different processors and SoCs. Some areas related to on-chip debug have been intentionally kept generic and out of the discussion to maintain the focus on the on-chip instrumentation. Notably, I have kept any detailed discussion of probes and host-based debugger software to a minimum, other than what is required to make the concepts of JTAG and trace understandable. This may seem unusual, but the reasons for this are two-fold. First, the topic of debug probe and software design is at least a book in itself. Second, the commercial business involved in probes and debug software is a significant business unit for most processor companies as well as the dozens of companies that provide probe and software solutions (many run by people I know) that address the range of debug options. To mention any one example in any detail would ignore the rest that are equally deserving of mention. Few are of variety of instrumentation- and debug-related areas I cover are discussed exhaustively. This is due to both limitations on space and a large amount of supplemental detailed information available elsewhere for those who want to explore in more depth. Similarly, I have intentionally avoided discussion of some of the more advanced implementations, in order to keep the text accessible to a more general reader. For virtually all topics, I highly recommend the reader to directly contact the IP or chip vender or standards group for more detailed and updated information on the topics. Those interested in instrumentation products can find an amount of online resources that address specific instrumentation solutions in minute detail. The amount of documentation avaliable on MIPS EJTAG or ARM ETM, for example, can put page length of War and Peace to shame. The standards-related activities are somewhat less well documented, in some cases because they are work in progress. However, there is a lot of follow-on information out there for those who search. So I have tried to focus on what I think are the interesting or unique parts of different instrumentation solutions, with the assumption that readers interested in more detail can find it. I want to acknowledge a number of people in the industry who have helped me along the way, especially Rick Leatherman and the on-chip instrumentation team of the First Silicon Solutions group at MIPS, who got me started in thinking about on-chip instrumentation and who taught me far more they realize about on-chip debug technologies and the businesses involved. I also thank the current and past members of the Nexus IEEE 5000 Forum and members of the OCP-IP Debug Working Group, with special recognition to Bob Uvacek, my longtime compatriot in the working group. Last, but by far not least, I want to acknowledge my family, without whom I am nothing. My wife Marcy, my daughters Courtney and Naomi, my son Eric, and my mom Rita Bickel Stollon (of blessed memory) were patient and understanding of the time I spent working on this book. Finally, I dedicate this book to my family but especially to my father Arthur Stollon (of blessed memory), who proofread everything I wrote while I was in school and taught me be prepared to trudge thru the wilderness to get a change at the limelight. Dallas, TX Neal Stollon

7 Contents 1 Introduction The Need for On-Chip Debug Instrument- (**in-silicon) and EDA- (Presilicon) Based Verification 1.3 SoC Debug Requirements Instrumentation-Based Debug Infrastructure On-Chip Instrumentation Components Trace and Event Triggering External Interfaces for On-Chip Instrumentation Performance Analysis Using On-Chip Instrumentation On-Chip Logic and Bus Analysis On-Chip Instrumentation Examples Trace Monitoring and Interfaces Bus Logic Monitoring Real-Time Data Exchange Multiprocessor Debug JTAG Use in Debug JTAG Pins Test Access Port JTAG Registers JTAG Instructions Boundary-Scan Description Language The Road to JTAG: Historical Debug Approaches Background Debug Mode Processor System Debug A Processor Debug Instrument Implementation Processor Trace Compression Hunting Code Errors with Self-Trace vii

8 viii Contents 5 An On-Chip Debug System OCDS Features Debug Events Debug Event Actions Debug Registers Operation Modes Entering Communication Mode Communication Mode Instructions Monitor-to-Debugger Host Data Transfer (Receive) Debugger Host-to-Monitor Data Transfer (Send) High-Level Synchronization OCDS Registers Debug Task ID Register Instruction Pointer Register Hardware Trigger Comparison Registers Considerations on Accessing OCDS Registers OCDS JTAG Access Steps to Initialize the JTAG Module OCDS Module Access Error Protection OCDS JTAG I/O Instructions OCDS JTAG Registers Hardware Triggers Structure of a Noninterruptible Monitor Routine Structure of an Interruptible Monitor Routine Debug Event Control Registers Additional Features System Security Reset from the JTAG Side Reset from the Chip/Processor Side... 6 Bus System Debug On-Chip Buses Socket-Based SoC Design SoC Interconnect Complexities Bus-Level Integration Bus Master Monitoring Peripheral Bus Monitoring Slave Monitoring Internal and External Alternatives for Bus Trace Programmable Bus Performance Monitoring Bus Performance Monitoring On-Chip and Off-Chip Analysis Request Response Trace Bus Analysis RRT Operations RRT Implementation

9 Contents ix 7 Multiprocessor Debugging Cross-Triggering and Global Breakpoint Control HyperDebug Distributed Cross-Triggering HyperDebug Controller Typical HyperDebug Implementation Multicore Synchronization Triggering and Global Actions IEEE : cjtag/ajtag Test and Debug Views of Key T0 T5 Class Functions MIPI Use of MIPI System Trace Module Nexus Use of IEEE /Nexus Integration IEEE P1687 IJTAG Overlap Zones and Gateway Elements Classes of P1687 Instruments IEEE 1500 Instruments OCP IP Debug Interfaces OCP Multicore Debug OCP Debug Features Three Views of Debugging Pure Software Debugging Pure Hardware Debugging System-on-Chip Debugging Debug Components and IP Interfaces Debug Socket Definitions Core Debug Socket Interfaces Cross-Triggering Socket Interfaces OCP Synchronized Run Control OCP Traffic-Monitoring and Trace Interfaces Performance Monitoring System Timestamping Power Management Monitoring Security Debug Interface Nexus IEEE Nexus Implementation Classes Nexus Message Architecture Nexus TCODEs Nexus Registers NEXUS Interfaces Nexus JTAG Access NEXUS AUX Interfaces

10 x Contents 11.4 M ulticore Nexus Debug Approaches Input Tool-to-Target Messages Output Target-to-Tool Messages Nexus Product Implementations Summary MIPS EJTAG EJTAG Instructions and Registers PC Sampling MIPS PDtrace Trace Output Formats Trace Control Block Registers TCB Trigger Logic Overview PDtrace External Interface TCtrace IF PDTRACE Operations ARM ETM ETM Signals External Signals ETM9 Registers Trace Interface Infineon Multicore Debug Solution MCDS Trace Protocol Definition Data Trace Debug Transactor: RUN Control Bus Master MCDS Run Control: On-Chip Debug Support BCU Level 1 (Bus-Observer Unit on the System Bus) Concurrent Debugging in Level 3 MCDS (Two-Channel Tracing) Debug Interface (Cerberus) (Debug Bus-Transactor Module) RW Mode and Communication Mode Multicore Break Switch EJTAG and Trace in Toshiba TX Cores Processor Access Overview Toshiba EJTAG Instructions and Registers Debug Exceptions Processor Debug Instructions and CP0 Registers Break Functions Output by PC Trace Index

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

System Level Instrumentation using the Nexus specification

System Level Instrumentation using the Nexus specification System Level Instrumentation using the Nexus 5001-2012 specification Neal Stollon, HDL Dynamics Chairman, IEEE 5001 Nexus Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions System

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4

Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4 Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4 Stephen L. Campbell, Jean-Philippe Chancelier and Ramine Nikoukhah Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4 Second Edition

More information

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

Guide to RISC Processors

Guide to RISC Processors Guide to RISC Processors Sivarama P. Dandamudi Guide to RISC Processors for Programmers and Engineers Sivarama P. Dandamudi School of Computer Science Carleton University Ottawa, ON K1S 5B6 Canada sivarama@scs.carleton.ca

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

A Practical Introduction to Hardware/Software Codesign

A Practical Introduction to Hardware/Software Codesign A Practical Introduction to Hardware/Software Codesign Patrick R. Schaumont A Practical Introduction to Hardware/Software Codesign 123 Dr. Patrick R. Schaumont Virginia Tech Bradley Dept. Electrical &

More information

Digital System Test and Testable Design

Digital System Test and Testable Design Digital System Test and Testable Design wwwwwwwwwwww Zainalabedin Navabi Digital System Test and Testable Design Using HDL Models and Architectures Zainalabedin Navabi Worcester Polytechnic Institute Department

More information

Scheduling in Distributed Computing Systems Analysis, Design & Models

Scheduling in Distributed Computing Systems Analysis, Design & Models Scheduling in Distributed Computing Systems Analysis, Design & Models (A Research Monograph) Scheduling in Distributed Computing Systems Analysis, Design & Models (A Research Monograph) by Deo Prakash

More information

Overview of Debug Standardization Activities

Overview of Debug Standardization Activities Silicon Debug and Diagnosis Overview of Debug Standardization Activities Bart Vermeulen NXP Semiconductors Rolf Kühnis Nokia Neal Stollon HDL Dynamics Gary Swoboda Texas Instruments Jeff Rearick AMD Editor

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Open Source Software for Digital Forensics

Open Source Software for Digital Forensics Open Source Software for Digital Forensics Ewa Huebner Editors Stefano Zanero Open Source Software for Digital Forensics Editors Ewa Huebner Computer Forensis Consulting, Warrimoo NSW Australia ehuebner@computerforensis.com

More information

Functional Programming in R

Functional Programming in R Functional Programming in R Advanced Statistical Programming for Data Science, Analysis and Finance Thomas Mailund Functional Programming in R: Advanced Statistical Programming for Data Science, Analysis

More information

Guide to OSI and TCP/IP Models

Guide to OSI and TCP/IP Models SPRINGER BRIEFS IN COMPUTER SCIENCE Mohammed M. Alani Guide to OSI and TCP/IP Models SpringerBriefs in Computer Science Series editors Stan Zdonik Peng Ning Shashi Shekhar Jonathan Katz Xindong Wu Lakhmi

More information

A Seamless Tool Access Architecture from ESL to End Product

A Seamless Tool Access Architecture from ESL to End Product A Seamless Access Architecture from ESL to End Product Albrecht Mayer Infineon Technologies AG, 81726 Munich, Germany albrecht.mayer@infineon.com Abstract access to processor cores is needed from the first

More information

Application-Specific Mesh-based Heterogeneous FPGA Architectures

Application-Specific Mesh-based Heterogeneous FPGA Architectures Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez H abib Mehrez Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez Habib Mehrez Université Pierre

More information

Hardware Acceleration of EDA Algorithms

Hardware Acceleration of EDA Algorithms Hardware Acceleration of EDA Algorithms Kanupriya Gulati Sunil P. Khatri Hardware Acceleration of EDA Algorithms Custom ICs, FPGAs and GPUs 123 Kanupriya Gulati 109 Branchwood Trl Coppell TX 75019 USA

More information

Integrated Circuit Design Using. Open Cores and Design Tools. Martha SaloméLópez de la Fuente

Integrated Circuit Design Using. Open Cores and Design Tools. Martha SaloméLópez de la Fuente Integrated Circuit Design Using Open Cores and Design Tools Martha SaloméLópez de la Fuente Science Publishing Group 548 Fashion Avenue New York, NY 10018 www.sciencepublishinggroup.com Published by Science

More information

Windows 10 Revealed. The Universal Windows Operating System for PC, Tablets, and Windows Phone. Kinnary Jangla

Windows 10 Revealed. The Universal Windows Operating System for PC, Tablets, and Windows Phone. Kinnary Jangla Windows 10 Revealed The Universal Windows Operating System for PC, Tablets, and Windows Phone Kinnary Jangla Windows 10 Revealed Kinnary Jangla Bing Maps San Francisco, California, USA ISBN-13 (pbk): 978-1-4842-0687-4

More information

Essential Angular for ASP.NET Core MVC

Essential Angular for ASP.NET Core MVC Essential Angular for ASP.NET Core MVC Adam Freeman Essential Angular for ASP.NET Core MVC Adam Freeman London, UK ISBN-13 (pbk): 978-1-4842-2915-6 ISBN-13 (electronic): 978-1-4842-2916-3 DOI 10.1007/978-1-4842-2916-3

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

TRACE32. Product Overview

TRACE32. Product Overview TRACE32 Product Overview Preprocessor Product Portfolio Lauterbach is the world s leading manufacturer of complete, modular microprocessor development tools with 35 years experience in the field of embedded

More information

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, and M. Violante Politecnico di Torino - Dipartimento di Automatica

More information

LOGICAL DATA MODELING

LOGICAL DATA MODELING LOGICAL DATA MODELING INTEGRATED SERIES IN INFORMATION SYSTEMS Professor Ramesh Sharda Oklahoma State University Series Editors Prof. Dr. Stefan VoB Universitat Hamburg Expository and Research Monographs

More information

Research on Industrial Security Theory

Research on Industrial Security Theory Research on Industrial Security Theory Menggang Li Research on Industrial Security Theory Menggang Li China Centre for Industrial Security Research Beijing, People s Republic of China ISBN 978-3-642-36951-3

More information

Robust SRAM Designs and Analysis

Robust SRAM Designs and Analysis Robust SRAM Designs and Analysis Jawar Singh Saraju P. Mohanty Dhiraj K. Pradhan Robust SRAM Designs and Analysis 123 Jawar Singh Indian Institute of Information Technology Design and Manufacturing Dumna

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Microsoft Computer Vision APIs Distilled

Microsoft Computer Vision APIs Distilled Microsoft Computer Vision APIs Distilled Getting Started with Cognitive Services Alessandro Del Sole Microsoft Computer Vision APIs Distilled Alessandro Del Sole Cremona, Italy ISBN-13 (pbk): 978-1-4842-3341-2

More information

Formal Technology in the Post Silicon lab

Formal Technology in the Post Silicon lab Formal Technology in the Post Silicon lab Real-Life Application Examples Haifa Verification Conference Jamil R. Mazzawi Lawrence Loh Jasper Design Automation Focus of This Presentation Finding bugs in

More information

Building Custom Tasks for SQL Server Integration Services

Building Custom Tasks for SQL Server Integration Services Building Custom Tasks for SQL Server Integration Services Andy Leonard Building Custom Tasks for SQL Server Integration Services Andy Leonard Farmville, Virginia, USA ISBN-13 (pbk): 978-1-4842-2939-2 ISBN-13

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-377 Technical notes on using Analog Devices products and development tools Visit our Web resources http://www.analog.com/ee-notes and http://www.analog.com/processors or e-mail

More information

Using MSC/NASTRAN: Statics and Dynamics

Using MSC/NASTRAN: Statics and Dynamics Using MSC/NASTRAN: Statics and Dynamics A.D. Cifuentes Using MSC/NASTRAN Statics and Dynamics With 94 Illustrations Springer-Verlag New York Berlin Heidelberg London Paris Tokyo Hong Kong Arturo O. Cifuentes

More information

ITSM: An Interactive Time Series Modelling Package for the pe

ITSM: An Interactive Time Series Modelling Package for the pe ITSM: An Interactive Time Series Modelling Package for the pe Peter J. Brockwell Richard A. Davis ITSM: An Interactive Time Series Modelling Package for the pe With 53 Illustrations and 3 Diskettes Written

More information

Inside Relational Databases with Examples in Access

Inside Relational Databases with Examples in Access Inside Relational Databases with Examples in Access Inside Relational Databases with Examples in Access Mark Whitehorn and Bill Marklyn 123 Mark Whitehorn Applied Computing Division, University of Dundee,

More information

International Journal of Current Research and Modern Education (IJCRME) ISSN (Online): ( Volume I, Issue II, 2016

International Journal of Current Research and Modern Education (IJCRME) ISSN (Online): (  Volume I, Issue II, 2016 A CASE STUDY OF IMPLEMENTING A GDB INTERFACE BETWEEN AN ARM BASED IC SIMULATOR AND GNU DEBUGGER H. S. Sachin Kumar* & Trisila Devi Nagavi** Department of Computer Science & Engineering, Sri Jaya Chamarajendra

More information

EMBEDDED SYSTEMS: Jonathan W. Valvano INTRODUCTION TO THE MSP432 MICROCONTROLLER. Volume 1 First Edition June 2015

EMBEDDED SYSTEMS: Jonathan W. Valvano INTRODUCTION TO THE MSP432 MICROCONTROLLER. Volume 1 First Edition June 2015 EMBEDDED SYSTEMS: INTRODUCTION TO THE MSP432 MICROCONTROLLER Volume 1 First Edition June 2015 Jonathan W. Valvano ii Jonathan Valvano First edition 3 rd printing June 2015 The true engineering experience

More information

NEWS 2018 CONTENTS SOURCE CODE COVERAGE WORKS WITHOUT CODE INSTRUMENTATION. English Edition

NEWS 2018 CONTENTS SOURCE CODE COVERAGE WORKS WITHOUT CODE INSTRUMENTATION. English Edition NEWS 2018 English Edition WORKS WITHOUT CODE INSTRUMENTATION SOURCE CODE COVERAGE CONTENTS Trace-based MCDC Coverage Code Coverage Live Tracing via PCI Express Transition Wind River to TRACE32 RISC-V Debugger

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Using PDtrace with SDE and FS2 System Navigator for MIPS Cores

Using PDtrace with SDE and FS2 System Navigator for MIPS Cores Using PDtrace with SDE and FS2 System Navigator for MIPS Cores Document Number: MD00543 Revision 01.01 July 30, 2008 MIPS Technologies, Inc. 955 East Arques Avenue Sunnyvale, CA 94085-4521 Contents Section

More information

Stefan Waldmann. Topology. An Introduction

Stefan Waldmann. Topology. An Introduction Topology Stefan Waldmann Topology An Introduction 123 Stefan Waldmann Julius Maximilian University of Würzburg Würzburg Germany ISBN 978-3-319-09679-7 ISBN 978-3-319-09680-3 (ebook) DOI 10.1007/978-3-319-09680-3

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

NEXUS 5001 Forum Debug Interface Standard

NEXUS 5001 Forum Debug Interface Standard NEXUS 5001 Forum Debug Standard www.ieee-isto.org/nexus5001/ page 1 Founding Member of NEXUS Technical Technical Committee Infineon Technologies AG Presentation Topics page 2 Introduction, Why NEXUS is

More information

Yves Nievergelt. Wavelets Made Easy. Springer Science+Business Media, LLC

Yves Nievergelt. Wavelets Made Easy. Springer Science+Business Media, LLC Wavelets Made Easy Yves Nievergelt Wavelets Made Easy Springer Science+Business Media, LLC Yves Nievergelt Department of Mathematics Eastem Washington University Cheney, WA 99004-2431 USA Library of Congress

More information

TRACE32 Getting Started... ICD In-Circuit Debugger Getting Started... ICD Introduction... 1

TRACE32 Getting Started... ICD In-Circuit Debugger Getting Started... ICD Introduction... 1 ICD Introduction TRACE32 Online Help TRACE32 Directory TRACE32 Index TRACE32 Getting Started... ICD In-Circuit Debugger Getting Started... ICD Introduction... 1 Introduction... 2 What is an In-Circuit

More information

The Definitive Guide to the ARM Cortex-M3

The Definitive Guide to the ARM Cortex-M3 The Definitive Guide to the ARM Cortex-M3 Joseph Yiu AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of Elsevier Newnes Forewopd

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

Rapidly Developing Embedded Systems Using Configurable Processors

Rapidly Developing Embedded Systems Using Configurable Processors Class 413 Rapidly Developing Embedded Systems Using Configurable Processors Steven Knapp (sknapp@triscend.com) (Booth 160) Triscend Corporation www.triscend.com Copyright 1998-99, Triscend Corporation.

More information

MATLAB Programming for Numerical Analysis. César Pérez López

MATLAB Programming for Numerical Analysis. César Pérez López MATLAB Programming for Numerical Analysis César Pérez López MATLAB Programming for Numerical Analysis Copyright 2014 by César Pérez López This work is subject to copyright. All rights are reserved by the

More information

Managing Complex Trace Filtering and Triggering Capabilities of CoreSight. Jens Braunes pls Development Tools

Managing Complex Trace Filtering and Triggering Capabilities of CoreSight. Jens Braunes pls Development Tools Managing Complex Trace Filtering and Triggering Capabilities of CoreSight Jens Braunes pls Development Tools Outline 2 Benefits and challenges of on-chip trace The evolution of embedded systems and the

More information

Fredrick M. Cady. Assembly and С Programming forthefreescalehcs12 Microcontroller. шт.

Fredrick M. Cady. Assembly and С Programming forthefreescalehcs12 Microcontroller. шт. SECOND шт. Assembly and С Programming forthefreescalehcs12 Microcontroller Fredrick M. Cady Department of Electrical and Computer Engineering Montana State University New York Oxford Oxford University

More information

Fundamentals of Operating Systems. Fifth Edition

Fundamentals of Operating Systems. Fifth Edition Fundamentals of Operating Systems Fifth Edition Fundamentals of Operating Systems A.M. Lister University of Queensland R. D. Eager University of Kent at Canterbury Fifth Edition Springer Science+Business

More information

The Windows 10 Productivity Handbook

The Windows 10 Productivity Handbook The Windows 10 Productivity Handbook Discover Expert Tips, Tricks, and Hidden Features in Windows 10 Mike Halsey The Windows 10 Productivity Handbook Mike Halsey Sheffield, Yorkshire, UK ISBN-13 (pbk):

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

System Performance Optimization Methodology for Infineon's 32-Bit Automotive Microcontroller Architecture

System Performance Optimization Methodology for Infineon's 32-Bit Automotive Microcontroller Architecture System Performance Optimization Methodology for Infineon's 32-Bit Automotive Microcontroller Architecture Albrecht Mayer, Frank Hellwig Infineon Technologies, Am Campeon 1-12, 85579 Neubiberg, Germany

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

The Design of a Debugger Unit for a RISC Processor Core

The Design of a Debugger Unit for a RISC Processor Core Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 3-2018 The Design of a Debugger Unit for a RISC Processor Core Nikhil Velguenkar nv8840@rit.edu Follow this and

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Computer Literacy - A Beginners' Guide

Computer Literacy - A Beginners' Guide Computer Literacy - A Beginners' Guide Other Macmillan Books of Related Interest Advanced Graphics with the Acorn Electron Ian O. Angell and Brian J. Jones Advanced Graphics with the BBC Model B Microcomputer

More information

Error Detection by Code Coverage Analysis without Instrumenting the Code

Error Detection by Code Coverage Analysis without Instrumenting the Code Error Detection by Code Coverage Analysis without Instrumenting the Code Erol Simsek, isystem AG Exhaustive testing to detect software errors constantly demands more time within development cycles. Software

More information

Pro MERN Stack. Full Stack Web App Development with Mongo, Express, React, and Node. Vasan Subramanian

Pro MERN Stack. Full Stack Web App Development with Mongo, Express, React, and Node. Vasan Subramanian Pro MERN Stack Full Stack Web App Development with Mongo, Express, React, and Node Vasan Subramanian Pro MERN Stack Vasan Subramanian Bangalore, Karnataka, India ISBN-13 (pbk): 978-1-4842-2652-0 ISBN-13

More information

SpringerBriefs in Computer Science

SpringerBriefs in Computer Science SpringerBriefs in Computer Science Series Editors Stan Zdonik Peng Ning Shashi Shekhar Jonathan Katz Xindong Wu Lakhmi C. Jain David Padua Xuemin (Sherman) Shen Borko Furht V.S. Subrahmanian Martial Hebert

More information

UML for SOC Design GRANT MARTIN WOLFGANG MÜLLER. Edited by. Tensilica Inc., Santa Clara, CA, USA. and. University of Paderborn, Germany

UML for SOC Design GRANT MARTIN WOLFGANG MÜLLER. Edited by. Tensilica Inc., Santa Clara, CA, USA. and. University of Paderborn, Germany UML FOR SOC DESIGN UML for SOC Design Edited by GRANT MARTIN Tensilica Inc., Santa Clara, CA, USA and WOLFGANG MÜLLER University of Paderborn, Germany A C.I.P. Catalogue record for this book is available

More information

Benefits of Programming Graphically in NI LabVIEW

Benefits of Programming Graphically in NI LabVIEW Benefits of Programming Graphically in NI LabVIEW Publish Date: Jun 14, 2013 0 Ratings 0.00 out of 5 Overview For more than 20 years, NI LabVIEW has been used by millions of engineers and scientists to

More information

Benefits of Programming Graphically in NI LabVIEW

Benefits of Programming Graphically in NI LabVIEW 1 of 8 12/24/2013 2:22 PM Benefits of Programming Graphically in NI LabVIEW Publish Date: Jun 14, 2013 0 Ratings 0.00 out of 5 Overview For more than 20 years, NI LabVIEW has been used by millions of engineers

More information

Java Quick Syntax Reference. Second Edition. Mikael Olsson

Java Quick Syntax Reference. Second Edition. Mikael Olsson Java Quick Syntax Reference Second Edition Mikael Olsson Java Quick Syntax Reference Second Edition Mikael Olsson Java Quick Syntax Reference Mikael Olsson Hammarland, Länsi-Suomi, Finland ISBN-13 (pbk):

More information

PrimeCell TrustZone Protection Controller (BP147) Cycle Model

PrimeCell TrustZone Protection Controller (BP147) Cycle Model PrimeCell TrustZone Protection Controller (BP147) Cycle Model Version 9.1.0 User Guide Copyright 2017 ARM Limited. All rights reserved. ARM DUI1084A () PrimeCell TrustZone Protection Controller (BP147)

More information

George Grätzer. Practical L A TEX

George Grätzer. Practical L A TEX Practical L A TEX George Grätzer Practical L A TEX 123 George Grätzer Toronto, ON, Canada Additional material to this book can be downloaded from http://extras.springer.com ISBN 978-3-319-06424-6 ISBN

More information

Bus Request-Response Trace for a SMART Interconnect System

Bus Request-Response Trace for a SMART Interconnect System Bus Request-Response race for a SMAR nterconnect System Jeff Haight Arcady Pann Dr. Neal Stollon Sonics, nc. Mobileye Vision echnologies LD FS2 Division of MPS echnologies nc. Mountain View Cal. Jerusalem,

More information

P High Speed JTAG debug using a fire hose rather than a straw

P High Speed JTAG debug using a fire hose rather than a straw P1149.10 High Speed JTAG debug using a fire hose rather than a straw CJ Clark, Intellitech CEO Chair, P1149.10 Past Chair, IEEE 1149.1 2013 1 Some basics using 1149.1 2013 What's coming P1149.10 High Speed

More information

RM3 - Cortex-M4 / Cortex-M4F implementation

RM3 - Cortex-M4 / Cortex-M4F implementation Formation Cortex-M4 / Cortex-M4F implementation: This course covers both Cortex-M4 and Cortex-M4F (with FPU) ARM core - Processeurs ARM: ARM Cores RM3 - Cortex-M4 / Cortex-M4F implementation This course

More information

With Fixed Point or Floating Point Processors!!

With Fixed Point or Floating Point Processors!! Product Information Sheet High Throughput Digital Signal Processor OVERVIEW With Fixed Point or Floating Point Processors!! Performance Up to 14.4 GIPS or 7.7 GFLOPS Peak Processing Power Continuous Input

More information

It's not about the core, it s about the system

It's not about the core, it s about the system It's not about the core, it s about the system Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Workshop 18 19 July 2018 Chennai, India Overview Architecture overview Example Scenarios

More information

Post-Silicon and Runtime Verification for Modern Processors

Post-Silicon and Runtime Verification for Modern Processors Post-Silicon and Runtime Verification for Modern Processors Ilya Wagner Valeria Bertacco Post-Silicon and Runtime Verification for Modern Processors Ilya Wagner Platform Validation Engineering Group Intel

More information

7 Windows Tweaks. A Comprehensive Guide to Customizing, Increasing Performance, and Securing Microsoft Windows 7. Steve Sinchak

7 Windows Tweaks. A Comprehensive Guide to Customizing, Increasing Performance, and Securing Microsoft Windows 7. Steve Sinchak Take control of Windows 7 Unlock hidden settings Rev up your network Disable features you hate, for good Fine-tune User Account control Turbocharge online speed Master the taskbar and start button Customize

More information

Wind River On-Chip Debugging Processor Support List (Processor Availability Matrix PAM) September 2012 Revision 1.0

Wind River On-Chip Debugging Processor Support List (Processor Availability Matrix PAM) September 2012 Revision 1.0 Wind River On-Chip Debugging Processor Support List (Processor Availability Matrix PAM) September 2012 Revision 1.0 Software Products Workbench On-Chip Debugging 3.3.3 On-Chip Debugging API 3.9.8 Hardware

More information

Network-Embedded Management and Applications

Network-Embedded Management and Applications Network-Embedded Management and Applications Alexander Clemm Ralf Wolter Editors Network-Embedded Management and Applications Understanding Programmable Networking Infrastructure Editors Alexander Clemm

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

Boost FPGA Prototype Productivity by 10x

Boost FPGA Prototype Productivity by 10x Boost FPGA Prototype Productivity by 10x Introduction Modern ASICs have become massively complex due in part to the growing adoption of system on chip (SoC) development methodologies. With this growing

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

ARM ARCHITECTURE. Contents at a glance:

ARM ARCHITECTURE. Contents at a glance: UNIT-III ARM ARCHITECTURE Contents at a glance: RISC Design Philosophy ARM Design Philosophy Registers Current Program Status Register(CPSR) Instruction Pipeline Interrupts and Vector Table Architecture

More information

Failure-Modes-Based Software Reading

Failure-Modes-Based Software Reading SPRINGER BRIEFS IN COMPUTER SCIENCE Yang-Ming Zhu Failure-Modes-Based Software Reading SpringerBriefs in Computer Science More information about this series at http://www.springer.com/series/10028 Yang-Ming

More information

Optical Burst Switched Networks

Optical Burst Switched Networks Optical Burst Switched Networks OPTICAL NETWORKS SERIES Series Editor Biswanath Mukherjee, University of California, Davis OPTICAL BURST SWITCHED NETWORKS JASON P. JUE The University of Texas at Dallas

More information

Low Power Methodology Manual For System-on-Chip Design

Low Power Methodology Manual For System-on-Chip Design Low Power Methodology Manual For System-on-Chip Design Michael Keating David Flynn Robert Aitken Alan Gibbons Kaijian Shi Low Power Methodology Manual For System-on-Chip Design Michael Keating Synopsys,

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

Real World Multicore Embedded Systems

Real World Multicore Embedded Systems Real World Multicore Embedded Systems A Practical Approach Expert Guide Bryon Moyer AMSTERDAM BOSTON HEIDELBERG LONDON I J^# J NEW YORK OXFORD PARIS SAN DIEGO S V J SAN FRANCISCO SINGAPORE SYDNEY TOKYO

More information

UAD2 + Universal Access Device2 plus

UAD2 + Universal Access Device2 plus UAD2 + Universal Access Device2 plus The access to the whole choice of C166, XC166, XC2000, XE166, C166CBC, C166S V2, TriCore, PowerPC, ST30, STR7, ARM7, ARM9, ARM11, XScale, SH-2A derivatives is supported

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

Chapter 2 The AMBA SOC Platform

Chapter 2 The AMBA SOC Platform Chapter 2 The AMBA SOC Platform SoCs contain numerous IPs that provide varying functionalities. The interconnection of IPs is non-trivial because different SoCs may contain the same set of IPs but have

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

NEWS 2017 CONTENTS HYPERVISOR. Seamless debugging through all software layers. English Edition

NEWS 2017 CONTENTS HYPERVISOR. Seamless debugging through all software layers. English Edition NEWS 2017 English Edition APPLICATION GUEST OS HYPERVISOR HARDWARE Seamless debugging through all software layers CONTENTS Hypervisor Debugging Debug Tools for Intel x86/x64 CombiProbe for TriCore DAP

More information

Getting Started Guide RS-EDP & XC167 CPU Module. Version 2 10th June 2010

Getting Started Guide RS-EDP & XC167 CPU Module. Version 2 10th June 2010 Getting Started Guide RS-EDP & XC167 CPU Module Version 2 10th June 2010 Electrocomponents plc Page 1 Contents 1. Introduction 3 2. Development Tool Support 4 2.1 FTDI Based USB to JTAG Converter... 4

More information

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics ABSTRACT Functional verification is critical in the development of today s complex digital

More information

Programming with Turing and Object Oriented Turing

Programming with Turing and Object Oriented Turing Programming with Turing and Object Oriented Turing Peter Grogono Programming with Turing and Object Oriented Turing Springer-Verlag New York Berlin Heidelberg London Paris Tokyo Hong Kong Barcelona Budapest

More information

(Refer Slide Time 00:01:09)

(Refer Slide Time 00:01:09) Computer Organization Part I Prof. S. Raman Department of Computer Science & Engineering Indian Institute of Technology Lecture 3 Introduction to System: Hardware In the previous lecture I said that I

More information

From Hardware Trace to. System Knowledge

From Hardware Trace to. System Knowledge Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur From Hardware Trace to Data-intensive Hardware Trace Analysis Andreas Gajda TU Dresden,

More information

Support for RISC-V. Lauterbach GmbH. Bob Kupyn Lauterbach Markus Goehrle - Lauterbach GmbH

Support for RISC-V. Lauterbach GmbH. Bob Kupyn Lauterbach Markus Goehrle - Lauterbach GmbH Company Lauterbach Profile Debug Support for RISC-V Lauterbach GmbH Bob Kupyn Lauterbach USA @2016 Markus Goehrle - Lauterbach GmbH Leading Manufacturer of Microprocessor Development Tools Founded in 1979

More information

From Access to SQL Server

From Access to SQL Server IURQWIP3DJHL7XHVGD\$XJXVW30 From Access to SQL Server RUSSELL SINCLAIR IURQWIP3DJHLLL7XHVGD\$XJXVW30 Contents at a Glance Introduction... xi Chapter 1 What Every Access Programmer Needs to Know about SQL

More information

ASP.NET Core Recipes

ASP.NET Core Recipes ASP.NET Core Recipes A Problem-Solution Approach Second Edition John Ciliberti ASP.NET Core Recipes: A Problem-Solution Approach John Ciliberti Sparta, New Jersey, USA ISBN-13 (pbk): 978-1-4842-0428-3

More information