System Level Instrumentation using the Nexus specification

Size: px
Start display at page:

Download "System Level Instrumentation using the Nexus specification"

Transcription

1 System Level Instrumentation using the Nexus specification Neal Stollon, HDL Dynamics Chairman, IEEE 5001 Nexus Forum HDL Dynamics SoC Solutions

2 System Debug Multicore and SoC Debug is not just a SoC problem anymore Systems integrators see debug as biggest software task Bigger systems, more interactions to monitor, more subtle bugs Remote System debug concurrent data from many chips Standards are more important for support of end applications Automotive, Networking, Aerospace More interest in Debug architectures = Involvement in Nexus

3 Why Nexus 5001 Nexus provides an Instrumentation toolbox for SoC Debug Standard and User defined Debug packet messages Implicit processor agnostic multi-core support Embedded run control, Breakpoints, Triggers, Instruction/data trace Defines recommended IO and register infrastructure ~35 Pre-defined Data Transfer/Trace messages (Tcodes) Ownership Trace Messages - trace the processes, tasks or threads Program Trace Messages - trace of code execution Data Trace Messages trace memory address and values Watchpoint Trace Messages - exact timing when events occur Data Acquisition Trace Messages - control of transmitted data In-Circuit Trace Messages - Provides custom/logic Analyzer trace capabilities User/Vendor defined Tcodes Whatever is required 3

4 Nexus 5001 Debug Environment System Run Control Ctoss Triggering - In/Out System Bus AMBA/OCP Bus Monitor Gasket Inst. Data/Addr trace TCODE & Message Protocol/ Formatting Nexus Registers JTAG Debug Registers AUX /SERDES Out FSMs AUX /SERDES In FSMs JTAG FSMs Auxiliary/ SERDES Output AUX/ SERDES Input JTAG TDI/TDO Applications Logic Performance Trace Debugger, SW Emulation Acquisition, Prototyping Run Time Debugger, Parameter Tuning, Calibration User Defined Domain 5001 Nexus Domain 4 Processor Independent data access Multiple on-chip processor/core support Custom Instruments Packet-Based Messaging Program Trace Data Trace Memory Substitution Vender -Defined 1149 TAP - 2 or 4 wire and/or AUX / SERDES Ports for High Performance Access to resources 4

5 Key Nexus concept Nexus Protocol Optional record event timing (delta) address (Indirect BTM only) Number of instructions since last BTM For multi processor systems to identify active processor Branch Trace Message (BTM) Example Message transfer code Vendor defined Variable length 1 8 bits Vendor defined 6 bits Timestamp U ADDR Instruction Count Message Source TCODE (0x3) Vendor defined Variable length Vendor defined 6 bits Timestamp PAYLOAD Message Source TCODE (0xXX) User Defined Message Example 5

6 What do System Folks want in Debug Real Time Instrumentation Debug and Calibration in the field Nexus - Multiple Trace and Memory and Register Access Methods Nexus - Real Time Read (Trace) / Write (Configuration) operations Heterogeneous Processor support lots of legacy IP Nexus - CPU/SoC architecture agnostic standard (15+ different architectures) Nexus - Implicit multi-core support Long Thin Wire for debug Nexus - High speed SERDES (Aurora) / 2 Wire JTAG(IEEE ) Interface Leverage mature technologies Nexus original Spec Developed in 1999 Aligned with other standards bodies , , MIPI, Power.org, OCP-IP Nexus - Default standard use in US Automotive electronics Multiple tools Sources Nexus - Support from range of vendors in the tools community Nexus IEEE-ISTO 5001 Standard - Industry consortia. 6

7 A system level Nexus 5001 Subsystem 1 core Trace RAM configuration Processor Cross-triggers JTAG chain core core core Subsystem 2 Local Nexus JTAG 2-wire (1149.7) Debug Control Messages Subsystem 3 Trace Combiner Router Aurora Serdes Channels Debug/Trace Data Messages Subsystem 4 Trace Buffer Bidirectional For calibration capabilities 7

8 Multiple Concurrent Instruments Per Nexus Interface 8

9 Nexus interfaces include control and data options Core instruments Trace Data Calibration 9

10 Nexus interfaces /.7 Core instruments Trace Data Calibration 10

11 What is Interface Originally developed by MIPI T&DWG Supports 2-wire JTAG interface (TCK, TMSC). IO Complaint to IEEE (TCK, TDI, TDO, TMS, TRST) Supports diverse parallel and serial JTAG data configurations 11

12 IEEE Class Features Advanced Debug Features JTAG Extended Features JTAG Compliant Features Class 5- BDX Data Channels with 2 pins, custom pin support (CDX) Class 4-2 Pin Parallel (Star-2) Topologies Class 3 Parallel (Star-4) Scan Topologies Class 2 Chip bypass in a Series Scan Topology Class 1 Basic control and function extensions, power control Class 0 multiple on-chip TAPs with IEEE compatibility User Selectable addition of Advanced Debug Features 12

13 Nexus interfaces Data Options Core instruments Trace Data Calibration 13

14 What is Aurora Interface Allows upper layers Nexus 5001 protocols to use highspeed serial links. Aurora is a high-speed serial, link level interface that supports either a single or multiple lane channel The Aurora protocol defines the physical layer, the link layer, data striping for utilizing one or more lanes, and flow control scalable, lightweight, low-latency link-layer protocol open protocol - free of charge. transparent interface to the physical serial links, Supports LVDS type interface. 1 to 5 Gbps trace output - increased bandwidth through bonded lanes See Xilinx has Aurora Bus functional models available 14

15 Aurora Interface Signaling Core Wrapper Nexus Logic & Instruments Supports both Framed and Streaming transfer modes 15

16 Summarizing System Debug 16

17 Nexus 5001 Key Technical Points Packet based Debug Protocol Simpler Multicore support Configurable fields Predefined Debug Instruction set (TCODES) Instruction and Data Trace, register, and memory access commands In place support from leading commercial tool vendors Configurable for additional user/vendor defined messages Predefined Register Set (optional) Simplified core level integration JTAG compatible interface Support for both IEEE and standards Parallel interfaces options for data transfer Parallel bus (AUX ports) or SerDes compatible interface (Aurora) User defined channels for Output (Trace) and/or Input (Calibration) User defined mix and match of JTAG and parallel interfaces Ie. JTAG input for control, parallel output for trace

18 Nexus 5001 Benefits MCU Vendors Simplifies tools support Customer understanding and access of tools strategy Re-use reduces time/cost Leverage best in class tools Easier, porting of tools on new architectures standard methodology for development & production Trace without the bus (reduced pin overhead) Users Learning cycles tools and architecture(s) Quicker time to market Development tool reuse Proven capabilities - multiple architectures Single small foot print interface Real Time debugging, triggering and trace Tool Vendors Reduced development cost Rapid migration to new architectures Standard functions Opportunity to differentiate tools Ease in addressing new tool requirements High performance lower cost tools More information - nstollon@nexus5001.org This presentation will be posted later this month 18 18

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

Nexus Makin Multi- Core Work

Nexus Makin Multi- Core Work A Program of the IEEE Industry Standards and Technology Organization Nexus Makin Multi- Core Work Update October 2007 Nexus Forum General Use Ron Stence IEEE-ISTO Nexus 5001 Freescale Semiconductor The

More information

An Introduction to the MPC57xx Nexus Aurora Interface

An Introduction to the MPC57xx Nexus Aurora Interface An Introduction to the MPC57xx Nexus Aurora Interface FTF-AUT-F0344 Randy Dees A P R. 2 0 1 4 TM External Use Agenda MPC57xx Debug Overview Nexus Aurora Trace Overview Board and Connector Recommendations

More information

NEXUS 5001 Forum Debug Interface Standard

NEXUS 5001 Forum Debug Interface Standard NEXUS 5001 Forum Debug Standard www.ieee-isto.org/nexus5001/ page 1 Founding Member of NEXUS Technical Technical Committee Infineon Technologies AG Presentation Topics page 2 Introduction, Why NEXUS is

More information

Overview of Debug Standardization Activities

Overview of Debug Standardization Activities Silicon Debug and Diagnosis Overview of Debug Standardization Activities Bart Vermeulen NXP Semiconductors Rolf Kühnis Nokia Neal Stollon HDL Dynamics Gary Swoboda Texas Instruments Jeff Rearick AMD Editor

More information

The Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface

The Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface IEEE-ISTO 5001-2003 The Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface Version 2.0 23 December 2003 IEEE- Industry Standards and Technology Organization (IEEE-ISTO) 445 Hoes

More information

On-Chip Debugging of Multicore Systems

On-Chip Debugging of Multicore Systems Nov 1, 2008 On-Chip Debugging of Multicore Systems PN115 Jeffrey Ho AP Technical Marketing, Networking Systems Division of Freescale Semiconductor, Inc. All other product or service names are the property

More information

The Nexus 5001 Forum

The Nexus 5001 Forum The Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface Version 3.0 01 June 2012 IEEE- Industry Standards and Technology Organization (IEEE-ISTO) 445 Hoes Lane Piscataway, NJ 08854

More information

A Seamless Tool Access Architecture from ESL to End Product

A Seamless Tool Access Architecture from ESL to End Product A Seamless Access Architecture from ESL to End Product Albrecht Mayer Infineon Technologies AG, 81726 Munich, Germany albrecht.mayer@infineon.com Abstract access to processor cores is needed from the first

More information

P High Speed JTAG debug using a fire hose rather than a straw

P High Speed JTAG debug using a fire hose rather than a straw P1149.10 High Speed JTAG debug using a fire hose rather than a straw CJ Clark, Intellitech CEO Chair, P1149.10 Past Chair, IEEE 1149.1 2013 1 Some basics using 1149.1 2013 What's coming P1149.10 High Speed

More information

Real-Time Debugging Highly Integrated Embedded Wireless Devices

Real-Time Debugging Highly Integrated Embedded Wireless Devices Real-Time Debugging Highly Integrated Embedded Wireless Devices David Ruimy Gonzales, Senior Member of Technical Staff Brian Branson, Design Manager Motorola M CORE TM Technology Center Austin, Texas Introduction

More information

On-Chip Instrumentation

On-Chip Instrumentation On-Chip Instrumentation Neal Stollon On-Chip Instrumentation Design and Debug for Systems on Chip Neal Stollon HDL Dynamics, Dallas TX, USA neals@hdldynamics.com ARM9, Coresight, ETM, ETM9, MMD are trademarks

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Increasing IP Reuse Ability by Using OCP-AHB Wrapper for SOC Integration

Increasing IP Reuse Ability by Using OCP-AHB Wrapper for SOC Integration Increasing IP Reuse Ability by Using OCP-AHB Wrapper for SOC Integration G.Ramachandra kumar 1, Abdul Rahim 2 1 M.Tech, Scholar at Aurora's Technological & Research Institute (ATRI), Parvathapur, Hyderabad,

More information

Industry Standards and Their Importance

Industry Standards and Their Importance Gary L. Swoboda CTO of and Test Technology, Texas Instruments Principal Architect and Editor: IEEE 1149.7 Working Group Industry Standards and Their Importance The Future of Test,, and Instrumentation

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

From Hardware Trace to. System Knowledge

From Hardware Trace to. System Knowledge Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur From Hardware Trace to Data-intensive Hardware Trace Analysis Andreas Gajda TU Dresden,

More information

Architecture Overview for Debug

Architecture Overview for Debug Architecture Overview for White Paper Version 1.2 13 July 2018 MIPI Board Approved for Public Distribution 29 August 2018 This is an informative document, not a MIPI Specification. Various rights and obligations

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools Simplifying the Development and Debug of 8572-Based SMP Embedded Systems Wind River Workbench Development Tools Agenda Introducing multicore systems Debugging challenges of multicore systems Development

More information

AN4353 Application note

AN4353 Application note Application note SPC57xx/SPC58xx: Debug over CAN Introduction The SPC57xx/SPC58xx family of multicore 32-bit microcontrollers is initially intended for automotive power train applications. It is based

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

IJTAG Compatibility with Legacy Designs - No Hardware Changes

IJTAG Compatibility with Legacy Designs - No Hardware Changes IJTAG Compatibility with Legacy Designs - No Hardware Changes By: Al Crouch, Jim Johnson, Bill Atwell Overview By now you have heard the buzz in our industry about the new IJTAG standards (IEEE 1687 and

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

Precise Continuous Non-Intrusive Measurement-Based Execution Time Estimation. Boris Dreyer, Christian Hochberger, Simon Wegener, Alexander Weiss

Precise Continuous Non-Intrusive Measurement-Based Execution Time Estimation. Boris Dreyer, Christian Hochberger, Simon Wegener, Alexander Weiss Precise Continuous Non-Intrusive Measurement-Based Execution Time Estimation Boris Dreyer, Christian Hochberger, Simon Wegener, Alexander Weiss This work was funded within the project CONIRAS by the German

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

EMUL-PPC-PC. Getting Started Guide. Version 1.0

EMUL-PPC-PC. Getting Started Guide. Version 1.0 EMUL-PPC-PC Getting Started Guide Version 1.0 EMUL PowerPC Getting Started Guide Edition1 ICE Technology. All rights reserved worldwide. Contents Warranty Information European CE Requirements User Responsibility

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

A Seamless Tool Access Architecture from ESL to End Product. Albrecht Mayer (Infineon Microcontrollers) S4D Conference Sophia Antipolis, Sept.

A Seamless Tool Access Architecture from ESL to End Product. Albrecht Mayer (Infineon Microcontrollers) S4D Conference Sophia Antipolis, Sept. A Seamless Tool Access Architecture from ESL to End Product Albrecht Mayer (Infineon Microcontrollers) S4D Conference Sophia Antipolis, Sept. 2009 Tool Access Architecture (TAA) Tool to Device TAA = Abstraction

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

ispvm System Software ISP TM Programming Software

ispvm System Software ISP TM Programming Software October 2002 ispvm System Software ISP TM Programming Software Data Sheet Features Serial and Turbo ispdownload of All Lattice ISP Devices Non-Lattice Device Programming Through SVF File Program Entire

More information

It's not about the core, it s about the system

It's not about the core, it s about the system It's not about the core, it s about the system Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Workshop 18 19 July 2018 Chennai, India Overview Architecture overview Example Scenarios

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Processor Trace in a Holistic World. DAC-2018 San Francisco RISC-V Foundation Booth

Processor Trace in a Holistic World. DAC-2018 San Francisco RISC-V Foundation Booth Processor Trace in a Holistic World DAC-2018 San Francisco RISC-V Foundation Booth Processor Trace in a Holistic World SoC DAC-2018 San Francisco RISC-V Foundation Booth Processor Debug, Analytics and

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

Boundary-scan test for structural fault detection

Boundary-scan test for structural fault detection Boundary-scan test for structural fault detection J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 351 225 81 889 / Fax: 351 225 81 443 [ jmf@fe.up.pt ] Tallinn Technical

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

TRACE32. Product Overview

TRACE32. Product Overview TRACE32 Product Overview Preprocessor Product Portfolio Lauterbach is the world s leading manufacturer of complete, modular microprocessor development tools with 35 years experience in the field of embedded

More information

Gen-Z Overview. 1. Introduction. 2. Background. 3. A better way to access data. 4. Why a memory-semantic fabric

Gen-Z Overview. 1. Introduction. 2. Background. 3. A better way to access data. 4. Why a memory-semantic fabric Gen-Z Overview 1. Introduction Gen-Z is a new data access technology that will allow business and technology leaders, to overcome current challenges with the existing computer architecture and provide

More information

The Design of a Debugger Unit for a RISC Processor Core

The Design of a Debugger Unit for a RISC Processor Core Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 3-2018 The Design of a Debugger Unit for a RISC Processor Core Nikhil Velguenkar nv8840@rit.edu Follow this and

More information

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL Ian Saunders Ians@jtag.co.uk JTAG TECHNOLOGIES B.V. UK Sales & Support Centre Tel: 01234 831212 Fax: 01234 831616 Design For Test - Component Selection

More information

Debug Support, Calibration and Emulation for Multiple Processor and Powertrain Control SoCs

Debug Support, Calibration and Emulation for Multiple Processor and Powertrain Control SoCs Debug Support, Calibration and Emulation for Multiple Processor and Powertrain Control SoCs A. Mayer 1, H. Siebert 1, K.D. McDonald-Maier 2. 1 Infineon Technologies AG, Automotive & Industrial, Munich,

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

DoCD IP Core. DCD on Chip Debug System v. 6.02

DoCD IP Core. DCD on Chip Debug System v. 6.02 2018 DoCD IP Core DCD on Chip Debug System v. 6.02 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was founded in 1999 and

More information

DRAFT. Joined up debugging and analysis in the RISC-V world RISC-V Workshop November DRAFT

DRAFT. Joined up debugging and analysis in the RISC-V world RISC-V Workshop November DRAFT Joined up debugging and analysis in the RISC-V world RISC-V Workshop November 29-30 2016 Agenda Some obvious statements Key Requirements Some examples of Performance analysis and Debug Use cases Demos

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

A unified multicore programming model

A unified multicore programming model A unified multicore programming model Simplifying multicore migration By Sven Brehmer Abstract There are a number of different multicore architectures and programming models available, making it challenging

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 8 Test Standards Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline 1149.1 standard for system-on-board 1500 standard for system-on-chip Advanced

More information

System-wide visibility in post-silicon to drive meaningful analytics

System-wide visibility in post-silicon to drive meaningful analytics System-wide visibility in post-silicon to drive meaningful analytics EPS Symposium September 2017 Agenda Some obvious statements Some problems with existing approaches Key Requirements Some examples of

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

JTAG and I 2 C on ELMB

JTAG and I 2 C on ELMB JTAG and I 2 C on ELMB Henk Boterenbrood NIKHEF, Amsterdam Nov 2000 Version 0.3 ABSTRACT The ELMB is designed as a general-purpose plug-on module for distributed monitoring and control applications in

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Building a Low-End to Mid-Range Router with PCI Express Switches

Building a Low-End to Mid-Range Router with PCI Express Switches Building a Low-End to Mid-Range Router with PCI Express es Introduction By Kwok Kong PCI buses have been commonly used in low end routers to connect s and network adapter cards (or line cards) The performs

More information

SISTEMI EMBEDDED AA 2012/2013 JTAG CIRCUITRY JTAG DEBUG MODULE JTAG-UART PERIPHERAL

SISTEMI EMBEDDED AA 2012/2013 JTAG CIRCUITRY JTAG DEBUG MODULE JTAG-UART PERIPHERAL SISTEMI EMBEDDED AA 2012/2013 JTAG CIRCUITRY JTAG DEBUG MODULE JTAG-UART PERIPHERAL Joint Test Action Group (JTAG) (1) Established in 1985 to develop a method to test populated PCBs A way to access IC

More information

The MPC500 Family of 32-bit Embedded Controllers from Motorola. Rudan Bettelheim MCU Marketing Manager 32-bit Embedded Controller Division, SPS

The MPC500 Family of 32-bit Embedded Controllers from Motorola. Rudan Bettelheim MCU Marketing Manager 32-bit Embedded Controller Division, SPS The MPC500 Family of 32-bit Embedded Controllers from Motorola Rudan Bettelheim MCU Marketing Manager 32-bit Embedded Controller Division, SPS Application Examples Robotics The Xerox Palo Alto Research

More information

PEX8764, PCI Express Gen3 Switch, 64 Lanes, 16 Ports

PEX8764, PCI Express Gen3 Switch, 64 Lanes, 16 Ports Highlights PEX8764 General Features o 64-lane, 16-port PCIe Gen3 switch Integrated 8.0 GT/s SerDes o 35 x 35mm 2, 1156-ball FCBGA package o Typical Power: 1. Watts PEX8764 Key Features o Standards Compliant

More information

Support for RISC-V. Lauterbach GmbH. Bob Kupyn Lauterbach Markus Goehrle - Lauterbach GmbH

Support for RISC-V. Lauterbach GmbH. Bob Kupyn Lauterbach Markus Goehrle - Lauterbach GmbH Company Lauterbach Profile Debug Support for RISC-V Lauterbach GmbH Bob Kupyn Lauterbach USA @2016 Markus Goehrle - Lauterbach GmbH Leading Manufacturer of Microprocessor Development Tools Founded in 1979

More information

A design of real-time image processing platform based on TMS320C6678

A design of real-time image processing platform based on TMS320C6678 Advanced Materials Research Online: 2014-06-25 ISSN: 1662-8985, Vols. 971-973, pp 1454-1458 doi:10.4028/www.scientific.net/amr.971-973.1454 2014 Trans Tech Publications, Switzerland A design of real-time

More information

The Atmel-ICE Debugger

The Atmel-ICE Debugger Programmers and Debuggers Atmel-ICE USER GUIDE The Atmel-ICE Debugger Atmel-ICE is a powerful development tool for debugging and programming ARM Cortex -M based Atmel SAM and Atmel AVR microcontrollers

More information

Application Note Debug Cable XC800

Application Note Debug Cable XC800 Application Note Debug Cable XC800 TRACE32 Online Help TRACE32 Directory TRACE32 Index TRACE32 Documents... ICD In-Circuit Debugger... Processor Architecture Manuals... XC800... XC800 Application Notes...

More information

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation Using Mentor Questa for Pre-silicon Validation of IEEE 1149.1-2013 based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation INTRODUCTION IEEE 1149.1-2013 is not your father s JTAG.

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

ET-UARTSWD Users Guide

ET-UARTSWD Users Guide User s Guide ET-UARTSWD Users Guide Power Application Controller s www.active-semi.com Copyright 2018 Active-Semi, Inc. CONTENTS Contents...2 Overview...3 1. ET-UARTSWD Resources...6 1.1 Provided Connectors...6

More information

PEX 8680, PCI Express Gen 2 Switch, 80 Lanes, 20 Ports

PEX 8680, PCI Express Gen 2 Switch, 80 Lanes, 20 Ports , PCI Express Gen 2 Switch, 80 Lanes, 20 Ports Features General Features o 80-lane, 20-port PCIe Gen2 switch - Integrated 5.0 GT/s SerDes o 35 x 35mm 2, 1156-ball BGA package o Typical Power: 9.0 Watts

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Real-Time Testing in a Modern, Agile Development Workflow

Real-Time Testing in a Modern, Agile Development Workflow Real-Time Testing in a Modern, Agile Development Workflow Simon Eriksson Application Engineer 2015 The MathWorks, Inc. 1 Demo Going from Desktop Testing to Real-Time Testing 2 Key Take-Aways From This

More information

Managing Complex Trace Filtering and Triggering Capabilities of CoreSight. Jens Braunes pls Development Tools

Managing Complex Trace Filtering and Triggering Capabilities of CoreSight. Jens Braunes pls Development Tools Managing Complex Trace Filtering and Triggering Capabilities of CoreSight Jens Braunes pls Development Tools Outline 2 Benefits and challenges of on-chip trace The evolution of embedded systems and the

More information

Industry Collaboration and Innovation

Industry Collaboration and Innovation Industry Collaboration and Innovation Open Coherent Accelerator Processor Interface OpenCAPI TM - A New Standard for High Performance Memory, Acceleration and Networks Jeff Stuecheli April 10, 2017 What

More information

Figure 1. JTAGAVRU1 application The JTAGAVRU1 is supported by AVR Studio. Updated versions of AVR Studio is found on

Figure 1. JTAGAVRU1 application The JTAGAVRU1 is supported by AVR Studio. Updated versions of AVR Studio is found on JTAG AVR Emulator through USB Main Features AVR Studio Compatible Supports AVR Devices with JTAG Interface Emulates Digital and Analog On-Chip Functions Data and Program Memory Breakpoints Supports Assembler

More information

Programming in the MAXQ environment

Programming in the MAXQ environment AVAILABLE The in-circuit debugging and program-loading features of the MAXQ2000 microcontroller combine with IAR s Embedded Workbench development environment to provide C or assembly-level application

More information

Sub-microsecond interconnects for processor connectivity The opportunity

Sub-microsecond interconnects for processor connectivity The opportunity 1/9 ページ Sub-microsecond interconnects for processor connectivity The opportunity Sam Fuller - May 22, 2013 As Moore s Law has continued to drive the performance and integration of processors ever higher,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Chip & Board Testability Assessment Checklist

Chip & Board Testability Assessment Checklist Chip & Board Testability Assessment Checklist Prepared by Ben Bennetts, DFT Consultant for ASSET InterTech, Inc. 1 July 2005 Abstract: BA Board Testability Assessment 2002, Bennetts Associates checklist

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Adam W Ley ASSET InterTech, Inc. Richardson TX, USA. Abstract. 1. Introduction

Adam W Ley ASSET InterTech, Inc. Richardson TX, USA. Abstract. 1. Introduction Doing More with Less An IEEE 49.7 Embedded Tutorial : Standard for Reduced-pin and Enhanced-functionality Test Access Port and Boundary- Architecture Adam W Ley ASSET InterTech, Inc. Richardson TX, USA

More information

Universität Dortmund. IO and Peripheral Interfaces

Universität Dortmund. IO and Peripheral Interfaces IO and Peripheral Interfaces Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture,

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Design and Implementation of the Arm JTAG Emulator

Design and Implementation of the Arm JTAG Emulator Send Orders for Reprints to reprints@benthamscience.ae 1820 The Open Automation and Control Systems Journal, 2015, 7, 1820-1826 Design and Implementation of the Arm JTAG Emulator Open Access Chun Zeng

More information

P1149.1A Extensions to IEEE-STD

P1149.1A Extensions to IEEE-STD AN-890 Fairchild Semiconductor Application Note February 1994 Revised May 2001 P1149.1A Extensions to IEEE-STD-1149.1-1990 Abstract Since publication of IEEE-1149.1-1990/ANSI 1, 2, 3, extensions and requests

More information

Using Boundary Scan on the TMS320VC5420

Using Boundary Scan on the TMS320VC5420 Application Report SPRA597 - November 1999 Using Boundary Scan on the TMS320VC5420 Clay Turner C5000 Applications Team ABSTRACT The Texas Instruments (TI ) TMS320VC5420 DSP implements limited boundary

More information

1. ONCE Module 2. EBDI. Application Note. AN2327/D Rev. 0, 9/2002. M Core EBDI Interface Application Note

1. ONCE Module 2. EBDI. Application Note. AN2327/D Rev. 0, 9/2002. M Core EBDI Interface Application Note Application Note AN2327/D Rev. 0, 9/2002 M Core EBDI Interface Application Note Alasdair Robertson TECD Applications, Scotland The Motorola enhanced background debug interface (EBDI) provides a cost effective

More information

Fast Flexible FPGA-Tuned Networks-on-Chip

Fast Flexible FPGA-Tuned Networks-on-Chip This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Fast Flexible FPGA-Tuned Networks-on-Chip Michael K. Papamichael, James C. Hoe

More information