Overview of Debug Standardization Activities

Size: px
Start display at page:

Download "Overview of Debug Standardization Activities"

Transcription

1 Silicon Debug and Diagnosis Overview of Debug Standardization Activities Bart Vermeulen NXP Semiconductors Rolf Kühnis Nokia Neal Stollon HDL Dynamics Gary Swoboda Texas Instruments Jeff Rearick AMD Editor s note: The semiconductor industry is disaggregated, with a complex web of suppliers and consumers. Standards help to facilitate and simplify the debug process. This article provides an overview of current standardization activity. Rob Aitken, ARM OVER THE PAST decade, the semiconductor industry has seen a significant increase in the complexity of embedded systems. Multiple heterogeneous processor cores are now on a single die, together with hard-wired accelerators and dedicated or general-purpose I/O peripherals. The code size of the software that runs on these embedded processors is also increasing dramatically. As a consequence, a new combination of embedded hardware and software often must be debugged. Because of the many different components that make up an embedded system, debugging has become a multidisciplinary problem, requiring detailed knowledge of system hardware, embedded software, and debug equipment and tools. Each of these components requires its own expertise, making it vital that all components fit together seamlessly. Well-defined industry standards enable the interoperability between components produced by different parties. Standards provide the basis that producers and consumers rely on to ensure their components will work together with others conformant parts. One area in need of such standardization is that of on-chip debug processes and instruments. The debug area particularly exhibits limited commonality between different IP providers in terms of interfaces and visibility for methods for complex SoCs. The problem becomes even greater with more SoC integrators using diverse IP from different vendors, requiring an increasing range of debug, analysis, and optimization capabilities. These go far beyond traditional debug capabilities because they must provide on-chip increasing first-pass design success by improved prototyping, reducing postsilicon verification and time to market, optimizing hardware and software interfaces and operations, complementing and validating documentation, and providing validation of correct hardware and software functionality through the observation of internal chip events. In recent years, these requirements have led to standardization activities in the debug and diagnosis area that bring together IP core providers, semiconductor manufacturers, and vendors of debug tools. This article describes the goals and ongoing activities of five debug standardization bodies: the Nexus 5001, MIPI (Mobile Industry Processor Interface) Test and Debug, IEEE P1149.7, IEEE P1687, and OCP-IP (Open Core Protocol International Partnership) Debug working groups. We also discuss the relation between these various activities and their standardization plans for the near future /08/$25.00 G 2008 IEEE Copublished by the IEEE CS and the IEEE CASS IEEE Design Test of Computers

2 Debug standardization bodies Figure 1 provides a high-level overview of the relationship between the five working groups, with respect to the onchip core debug interfaces, the device debug interfaces, and debug equipment and tools. At the center of these standardization activities resides the interface traditionally used for test and debug, the IEEE test access port (TAP). Nexus 5001 extends the TAP by defining a message-based interface between on-silicon instrumentation and debug tools for the automotive industry. IEEE P standardizes a successor to the TAP, providing full backward compatibility, while enabling additional capabilities for presentday and future test and debug needs. The MIPI Test and Debug working group leverages the IEEE P interface and adds high bandwidth, unidirectional trace interfaces for smart phones, and other network devices. IEEE P1687 focuses on standardizing the access to on-chip instruments via the existing TAP. The OCP-IP Debug working group focuses on standardizing the critical set of on-chip debug functions and how they interface to system-level debug and analysis tools. The groups joint goal is to standardize the debug interfaces between different IP cores on a chip as well as between different chips and the external debug equipment and tools. Standardizing the debug interfaces between these components can save a significant amount of debug time and resources, which can then be put to better use on the actual debugging of system problems. Debug standardization is essential to allowing onchip debug instruments, chips, and external debug and analysis tools to be quickly connected together to form a working debug setup. The standardization efforts currently ongoing in the Nexus 5001, MIPI Test and Debug, IEEE P1149.7, IEEE P1687, and OCP-IP Debug working groups constitute an important first step toward reliable component interoperability. Figure 1. Focus areas for the groups working on debug standardization. Nexus 5001 The Nexus 5001 Forum is an industry-based standards group that manages the IEEE 5001 (Nexus) Debug Specification. 1 The Nexus activity was initiated in 1999 as an extended and inclusive specification based on the Global Embedded Processor Interface Forum s work. It addresses the need for a standardized interface for on-silicon instrumentation and debug tools that allows expanded features and higher performance. The specification s current version was released in Since then, versions of Nexus architectures have been used extensively in US automotive applications. The Nexus architecture defines an open, highperformance debug data interface, associated protocol, and register infrastructure (see Figure 2) that can serve to implement various trace and control instrumentation. The Nexus interface defines a small set of control signals and auxiliary data ports for use in conjunction with an IEEE TAP or as a selfcontained port. The additional data pins provided by the auxiliary interfaces allow much higher instrument throughput compared to the IEEE TAP. Recognizing that various applications have differing debug requirements, Nexus defines functionality and compatibility over four classes of operation: Class 1 provides basic debug features common with most processor and IEEE debug May/June

3 Silicon Debug and Diagnosis Figure 2. Nexus 5001 interface. (TAP: test access port.) 260 implementations, including device identification, single stepping, breakpoints and watch points, and access to static memory and I/Os. Class 2 provides features related to processor execution trace, including real-time monitoring of process ownership and instruction tracing, along with complex watch points and branch tracking, which flags indirect branches and eliminates redundant addressing information. Class 3 provides support for data, memory, and I/O read/write instruction traces while the processor is running. Class 4 provides a user with direct control over the processor for example, by letting programs be executed from the Nexus port (memory substitution), along with features for remapping memory and I/O ports, and by starting a trace upon a watch-point occurrence. Device instrumentation and tools are class 1 through 4 compliant if they support all the features defined in each class. Higher classes progressively increase the ability to debug the chip-level system and analyze more complex processor operations, but they involve more instrument and system complexity. Each auxiliary interface is unidirectional (either data in or data out) with its own clock. An auxiliary interface s data-out pins are typically used for trace, and the data-in pins are for a chip s configuration and calibration. Auxiliary data in and out ports may be operated concurrently. The Nexus specification also describes how to use an IEEE TAP in conjunction with the auxiliary ports. IEEE operations in Nexus can be used for configuration and control of the onsilicon instrumentation as well as for embedding the Nexus protocol and data into an IEEE message. Both auxiliary and IEEE interfaces are controlled by a set of finitestate machines (FSMs) that allow various transfer operations. The Nexus architecture design is based on a packetbased messaging scheme, and it uses a transaction protocol that supports debugging complex multicore systems and controlling multicore debug processes (see Figure 3). Data is sent in packets, and packet headers provide information on the data s source and destination, along with information on whether the associated data packets contain trace data or other information. This simplifies the interleaving of data from multiple trace sources and the concurrent communication with multiple Nexus instruments. The Nexus specification defines a standard set of transaction protocols for common identification and trace operations. The transaction protocol can be extended with user-defined debug commands. The Nexus specification also defines a standard set of on-chip debug registers, which facilitate the identification and which interface to different cores and subsystems. The specification also supports control over multicore debug operations. A standard register set allows simpler integration and control of the on-chip instruments by external debuggers and analysis tools. MIPI Test and Debug The MIPI Alliance is primarily focused on smart phones and other portable, application-rich networked devices, or mobile terminals. The unique requirements of mobile terminal systems drive the development of all MIPI specifications. These specifi- IEEE Design Test of Computers

4 cations address only hardware and software interface technology, such as signaling characteristics and protocols, and do not standardize entire application processors or peripherals. In 2004, MIPI formed the Test and Debug (MIPI TD) working group. Recognizing the need for a standard that addresses the increasing number of interface requirements for test and debug that the IEEE standard does not cover, the MIPI TD working group developed a specification that was reviewed both inside the working group and within the Nexus 5001 standardization body in late Both recommended using the on-chip portions of this specification as the basis for a new IEEE P standard. Since then, the MIPI TD working group has addressed other system-level issues (see Figure 4). The MIPI TD working group has defined two standards and one recommendation related to application debug on mobile terminals: Figure 3. Nexus 5001 architecture. (FSM: finite-state machine.) This interface uses dual-data rate, has a configurable export port width, and outputs the clock and data 90 degrees out of phase from each other. The MIPI TD Debug Connector recommendation covers a range of capabilities from basic debug connectivity (as little as 10 pins) up to high-speed parallel trace (60 pins). Two white papers are available as well: The System Trace Protocol (STP) specification standardizes a highly optimized protocol used for encoding instrumentation trace information (hardware or software generated). It automatically adds time-stamp information to the exported messages. The Parallel Trace Interface (PTI) specification standardizes a high-bandwidth interface for transferring debug and analysis data from a target system to an external debugger. Figure 4. Working areas of Mobile Industry Processor Interface Test and Debug (MIPI TD) working group. (M-PHY: MIPI high-speed serial, physical communication layer; STP: System Trace Protocol.) May/June

5 Silicon Debug and Diagnosis The MIPI Test and Debug Interface Framework white paper explains the components of a MIPI test and debug system. 2 The MIPI Alliance Test and Debug - NIDnT-Port (Narrow Interface for Debug and Test) white paper describes how software and silicon debug can be done on an assembled mobile terminal. 3 Currently, the group is working on the following topics: An STP extension will help create a multidrop STP. The goal is to have only one trace port in an assembled terminal, connected to several SoCs. One of the topics is how to achieve this goal with minimal hardware infrastructure. The Open System Trace Protocol provides standard APIs and protocols to enable software tracing tools to work seamlessly with different SoCs implementing the MIPI STP. The group will also explore instrumentation protocols that can be used with the upcoming IEEE P standard. Already, other standardization working groups are active in the area of high-speed serial trace (gigabit trace). However, most of the proposed physical layers are unsuitable for mobile terminals due to their area cost and power consumption. Therefore, the working group is looking into different possibilities to replace existing parallel trace solutions with a high-speed, low-voltage, differential-signaling trace interface. IEEE P The IEEE P working group started in March Its Draft Standard for Reduced-Pin and Enhanced- Functionality Test Access Port and Boundary Scan Architecture is meant to be a successor to the popular IEEE standard. It is fully backwardcompatible, while adding capabilities that support both present and future test and debug needs. Through this compatibility, any IC using the IEEE interface can use the IEEE P standard to reduce the pin count of its test interface to two pins. To enable this, IEEE P introduces an additional control layer between the on-chip IEEE TAP controllers and the device s TAP. This layer preserves all elements of the IEEE interface at the device level, while also providing options for introducing new functionality and features. The key aspects of the IEEE P standard include use of multiple TAP controllers on chip, support for commonly used debug capabilities, operation with four TAP pins in a four-pin star scan topology, operation with two TAP pins in a two-pin star scan topology, concurrent use of the chip TAP for scan and instrumentation of an application, use of the TAP for private protocols in a manner compatible with normal operation, and power down and power up of test logic on demand of external debug and test equipment. The IEEE P standard makes it possible to create IEEE P TAPs with six capability classes (T0 through T5), all sharing common characteristics (see Figure 5): Class T0 is fully IEEE compliant and provides interoperability for multiple on-chip IEEE TAP controllers. Class T1 provides additional debug functions and features to minimize power consumption. Class T2 adds IEEE compatible operating modes to maximize debug, and optional features to improve scan performance. It also provides a hot-connection capability to prevent system corruption. Class T3 enables the operation of four-pin TAPs in a star configuration. Class T4 provides debug and test communication using either a two-pin (narrow) or four-pin (wide) interface and adds a number of options to improve scan performance. The IEEE protocol is used to stimulate either of the interfaces from power up, whereas an advanced two-pin protocol is used when only two pins are involved in interface transactions. An option to begin operation with the advanced protocol is also provided. The advanced protocol serializes standard IEEE transactions to allow pin reuse and higher interface clock rates than those afforded by the IEEE standard. Class T5 adds the capability to perform data transfers concurrent with scan, supports use of functions other than scan, and provides control of the TAP pins to custom debug technologies in 262 IEEE Design Test of Computers

6 a manner that ensures current and future interoperability. Each higher class includes all capabilities of the underlying classes. IEEE P can be combined with the efforts of other standardization bodies that use the IEEE standard as their basis, such as the Nexus 5001, MIPI TD, IEEE P1687, and OCP-IP debug activities. IEEE P1687 (IJTAG) The semiconductor industry is in the midst of an explosion in the use of onchip measurement circuitry to provide insight into the operation of increasingly complex devices. A typical SoC today is equipped with triggered trace capture buffers for logic analysis, temperature monitors for thermal management, bit-error ratio testers for high-speed serial I/O link testing, memory and logic BIST for defect detection, and many other embedded instruments. This proliferation of instruments is growing, but it has not yet been accompanied by a method to facilitate use, reuse, interoperation, and automation; this is where the emerging IEEE P1687 standard adds value. 5 The IEEE P1687 working group focuses on the standardization of the access to on-chip instruments, but not of the instruments themselves. Given the widespread adoption of the IEEE TAP and its associated controller, IEEE P1687 explicitly uses this TAP as its primary external interface to embedded instruments. Its informal name, IJTAG (Internal Joint Test Access Group), reflects this legacy. The primary objective is to provide a standard mechanism to interact with on-chip instruments through a TAP. This standard mechanism can be decomposed into hardware and software elements. The hardware element refers to the specification of the on-chip interface between the TAP and the instruments and is flexible so as not to overconstrain the instruments architecture. Figure 6 outlines the P1687 hardware Figure 5. IEEE P classes and functionality. interface s context, which consists of one or more scan gateways registers similar to an IEEE test data register (TDR) between the TAP and the instruments. The gateways separate the IEEE zone from the IEEE P1687 zone. IEEE instruction-register actions select a gateway TDR, after which data-register scan operations through the gateway interact with the instruments. The minimum set of signals in this interface consists of an instrument enable (or select) signal and the traditional capture, shift, and update signals that a TAP controller produces. The software element consists of three components, all of which can be viewed as description languages: A hardware description language (HDL) specifies the connectivity of the instruments to the TAP (via register names, signal names, scan path, and bit position information in a format likely to be a straightforward extension of IEEE BSDL). A pattern/procedure/protocol description language (PDL) describes the instrument s operation at the instrument boundary and internal register level; and An API allows abstraction of the instrument functions and codification of instrument actions into a library. May/June

7 Silicon Debug and Diagnosis Figure 6. IEEE P1687 architecture. (BSDL: Boundary Scan Description Language; DR: data register; IR: instruction register; TCK: test clock; TDI: test data input; TMS: test mode select; TRST: test reset (active low); WSI: wrapper serial input; WSO: wrapper serial output.) TheIEEEP1687usemodelisasfollows: 1. A provider creates an implementation of an instrument, along with its HDL and PDL descriptions. The HDL identifies the instrument s registers and ports. The PDL describes the actions that the instrument can perform (written in terms of those registers and ports). 2. A chip integrator incorporates this instrument into a chip design and creates a top-level HDL, taking the global scan connectivity into account. 3. Using this top-level HDL and an EDA tool, the integrator retargets the instrument s PDL to the chip level. 4. Users construct test and debug programs in their favorite language using the API, without dealing with low-level details. More than 20 members of the IJTAG working group have met weekly for the past two years. The extended mailing list includes hundreds of others, representing a wide cross section of the industry. The standard s hardware interface specification has been completed, and three subteams are currently busily working on the respective language specifications. OCP-IP Debug The OCP-IP ( is an industry-based standardization group that defines vender-neutral socket interfaces to interconnect processor cores with other on-chip components. The OCP-IP socket-based integration strategy has been proven in a multitude of designs over the past six years. OCP-IP formed its Debug working group in late 2005 to investigate and propose on-chip analysis solutions. This working group initially focused on three areas that were generally considered critical to having an onchip debug solution (which a white paper discusses in more detail 6 ): 264 IEEE Design Test of Computers

8 Figure 7. Debug blocks using both JTAG (Joint Test Action Group) and memory-mapped interfaces. (RISC: reducedinstruction-set computing.) First, define a critical set of debug functions that can be implemented on-chip. These include global run control signals as well as trace, triggering, time stamping, and other on-chip analysis functions. These functions must support modern SoCs that incorporate, among others, asynchronous clock domains, diverse voltage islands, various power-saving schemes, and varying levels of embedded security. They are accessed using an IEEE TAP, dedicated debug access ports, or memory-mapped registers that are accessible from the embedded processors (see Figure 7). Second, define both critical and optional sets of debug signals that allow different IP blocks to communicate and coordinate their specific debug requirements and features. The critical signals are typically common to all IP blocks and are accessed through a common TAP chain or debug port. The optional signal-support functions can be specific to particular blocks or subsystems. Third, define common tools and system-level interfaces and schemas that support more commonality and integration between presilicon and on-chip analysis. Working with the OCP-IP System Modeling working group, define common requirements and evaluate approaches to define common debug inputs (suchastriggersandbreakpoints)aswellascommon software, API, and tool database requirements between different vendors (see Figure 8). The OCP-IP Debug working group s key consideration has been to adopt successful and best-in-class debug components and to leverage compatible work from other parts of the debug and analysis community. As an example, the debug functions and signal interfaces defined are typically at a deeply embedded level, at the core and bus fabric and interface levels, where specific functional and performance information that is critical to debug is equally difficult to access in hardware. The interfaces between these embedded subsystems and the outside world are defined through standardized interfaces, most notably IEEE and IEEE 5001 Nexus interfaces (see Figure 1), both of which are managed by other industry working groups. Combining innovation, pushing current capabilities where required, and leveraging existing solutions where possible allows for evolution of debug solutions that can be rapidly deployed and that appeal to the varied interests of the OCP-IP community. EXCITING TIMES ARE AHEAD for debug standardization, as first results are becoming available on the market and more convergence is expected to take place in the near future. The IEEE P standard is currently May/June

9 Silicon Debug and Diagnosis Figure 8. Integration of on-chip instrument and electronic system-level (ESL) debug strategies. under review and is scheduled for ballot in First chipsets and boards with MIPI-standardized test and debug interfaces and recommended connectors are expected to become available soon. The Nexus 5001 Forum is already collaborating with other debug standardization efforts, including MIPI and OCP-IP. Moreover, it is in the process of extending its Nexus 5001 specification to support emerging debug interfaces such as serializers/deserializers and the two-pin IEEE P TAP. The Nexus 5001 Forum and OCP-IP have implemented a collaboration agreement to manage the standardization effort of the Nexus 5001 specification and to allow a more open and detailed technical exchange. 7 References 1. The Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface, v2.0, Nexus 5001 Forum, 2003; pdf. 2. MIPI Test and Debug Interface Framework, v3.2, white paper, MIPI Test and Debug Working Group, Apr. 2006; 3. MIPI Alliance Test and Debug - NIDnT-Port, v1.0, white paper, MIPI Test and Debug Working Group, Jan. 2007; pdf. 4. IEEE P1149.7, Draft Standard for Reduced-Pin and Enhanced-Functionality Test Access Port and Boundary Scan Architecture, IEEE, 2008; groups/1149/7. 5. IEEE P1687 IJTAG, Draft Standard for Access and Control of Instrumentation Embedded within a Semiconductor Device, IEEE, 2008; grouper.ieee.org/groups/1687/index.html. 6. N. Stollon, B. Uvacek, and B. Laurenti, Standard Debug Interface Socket Requirements for OCP-Compliant SoC, white paper, OCPIP Debug Working Group, Mar. 2007; 7. OCP-IP and NEXUS Announce Collaboration on Industry Standard Debug Efforts, press release, OCP-IP and Nexus 5001 Forum, Aug. 2007; releases/2007_press_releases/ocp_nexus.pdf. The biography of Bart Vermeulen appears on p. 215 of this issue. Rolf Kühnis is a technology manager at Nokia. His research interests include debug and trace technologies for the development of wireless hand sets. He has an MSc in electrical engineering from ETH Zurich. 266 IEEE Design Test of Computers

10 Jeff Rearick is an AMD Fellow and leads AMD s Design for Testability Center of Expertise. His research interests include a range of DFT strategies for leading-edge microprocessors from memory and logic BIST to small delay defect ATPG, to embedded instrumentation for highspeed serial I/O circuits. He has a BS from Purdue University and an MS from the University of Illinois at Urbana-Champaign, both in electrical engineering. Neal Stollon is a principal engineer at HDL Dynamics, an SoC IP consulting and service provider. His research interests include SoC instrumentation and ESL-based debug tools development. He has a PhD in electrical engineering from Southern Methodist University. He is a senior member of the IEEE and a registered Texas professional engineer. Gary Swoboda is a Texas Instruments Fellow. His research interests include defining TI s debug technology infrastructure, helping define TI s overall development tools roadmaps, and influencing the definition of new DSP architectures. He has a BS in electrical engineering from the University of Texas at Austin. Direct questions and comments about this article to Bart Vermeulen, NXP Semiconductors, High Tech Campus 37, Room 2.52, 5656 AE Eindhoven, the Netherlands; bart.vermeulen@nxp.com. For further information on this or any other computing topic, please visit our Digital Library at computer.org/csdl. May/June

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

System Level Instrumentation using the Nexus specification

System Level Instrumentation using the Nexus specification System Level Instrumentation using the Nexus 5001-2012 specification Neal Stollon, HDL Dynamics Chairman, IEEE 5001 Nexus Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions System

More information

IJTAG Compatibility with Legacy Designs - No Hardware Changes

IJTAG Compatibility with Legacy Designs - No Hardware Changes IJTAG Compatibility with Legacy Designs - No Hardware Changes By: Al Crouch, Jim Johnson, Bill Atwell Overview By now you have heard the buzz in our industry about the new IJTAG standards (IEEE 1687 and

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

Nexus Makin Multi- Core Work

Nexus Makin Multi- Core Work A Program of the IEEE Industry Standards and Technology Organization Nexus Makin Multi- Core Work Update October 2007 Nexus Forum General Use Ron Stence IEEE-ISTO Nexus 5001 Freescale Semiconductor The

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Industry Standards and Their Importance

Industry Standards and Their Importance Gary L. Swoboda CTO of and Test Technology, Texas Instruments Principal Architect and Editor: IEEE 1149.7 Working Group Industry Standards and Their Importance The Future of Test,, and Instrumentation

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Architecture Overview for Debug

Architecture Overview for Debug Architecture Overview for White Paper Version 1.2 13 July 2018 MIPI Board Approved for Public Distribution 29 August 2018 This is an informative document, not a MIPI Specification. Various rights and obligations

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE

WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE 1149.1 A. JUTMAN, A. SUDNITSON, R. UBAR TALLINN TECHNICAL UNIVERSITY, ESTONIA KEYWORDS: Web-Based Teaching, Boundary Scan, Java Applet ABSTRACT:

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

A Seamless Tool Access Architecture from ESL to End Product

A Seamless Tool Access Architecture from ESL to End Product A Seamless Access Architecture from ESL to End Product Albrecht Mayer Infineon Technologies AG, 81726 Munich, Germany albrecht.mayer@infineon.com Abstract access to processor cores is needed from the first

More information

Management building blocks speed AdvancedTCA product development

Management building blocks speed AdvancedTCA product development TELECOM S P E C I A L F E A T U R E Management building blocks speed AdvancedTCA product development By Mark Overgaard The IPM Sentry Intelligent Platform Management products provide off-the-shelf building

More information

Developing Core Software Technologies for TI s OMAP Platform

Developing Core Software Technologies for TI s OMAP Platform SWPY006 - August 2002 White Paper By Justin Helmig Texas Instruments Senior Technical Staff, Wireless Software Applications Texas Instruments OMAP platform for wireless handsets offers a powerful hardware

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

P High Speed JTAG debug using a fire hose rather than a straw

P High Speed JTAG debug using a fire hose rather than a straw P1149.10 High Speed JTAG debug using a fire hose rather than a straw CJ Clark, Intellitech CEO Chair, P1149.10 Past Chair, IEEE 1149.1 2013 1 Some basics using 1149.1 2013 What's coming P1149.10 High Speed

More information

Real-Time Debugging Highly Integrated Embedded Wireless Devices

Real-Time Debugging Highly Integrated Embedded Wireless Devices Real-Time Debugging Highly Integrated Embedded Wireless Devices David Ruimy Gonzales, Senior Member of Technical Staff Brian Branson, Design Manager Motorola M CORE TM Technology Center Austin, Texas Introduction

More information

Betrouwbare Elektronica ontwerpen en Produceren

Betrouwbare Elektronica ontwerpen en Produceren Betrouwbare Elektronica ontwerpen en Produceren Verbeter betrouwbaarheid, time to market en winstgevendheid met boundary scan JTAG Technologies B.V. Rik Doorneweert rik@jtag.com Boundary scan Testing HW

More information

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation Using Mentor Questa for Pre-silicon Validation of IEEE 1149.1-2013 based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation INTRODUCTION IEEE 1149.1-2013 is not your father s JTAG.

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

IJTAG (Internal JTAG): A Step Toward a DFT Standard

IJTAG (Internal JTAG): A Step Toward a DFT Standard IJTAG (Internal JTAG): A Step Toward a DFT Standard Jeff Rearick, Al Crouch, Ken Posse, Ben Bennets, Bill Eklow This paper is to appear at: 2005 International Test Conference Purpose Provide background

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab Fault management in an IEEE P1687 (IJTAG) environment Erik Larsson and Konstantin Shibin Lund University Testonica Lab otivation Semiconductor technology development enables design and manufacturing of

More information

On-Chip Instrumentation

On-Chip Instrumentation On-Chip Instrumentation Neal Stollon On-Chip Instrumentation Design and Debug for Systems on Chip Neal Stollon HDL Dynamics, Dallas TX, USA neals@hdldynamics.com ARM9, Coresight, ETM, ETM9, MMD are trademarks

More information

Web-Based Training System for Teaching Principles of Boundary Scan Technique

Web-Based Training System for Teaching Principles of Boundary Scan Technique Web-Based Training System for Teaching Principles of Boundary Scan Technique A. Jutman, A. Sudnitson, R. Ubar Tallinn Technical University, Department of Computer Engineering Raja 15, 12618 Tallinn, Estonia

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

MIPI Alliance Overview

MIPI Alliance Overview MIPI Alliance Overview Joel Huloux ST-Ericcson Chairman, MIPI Alliance June 16, 2010 1 MIPI Alliance Overview Open membership organization creates interface specifications aiding the development and interoperability

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Impact of JTAG/ Testability on Reliability

Impact of JTAG/ Testability on Reliability Impact of JTAG/1149.1 Testability on Reliability SCTA041A January 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product

More information

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? By Al Crouch Chief Technologist, Core Instrumentation ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway, Suite 105

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Keysight Technologies ABCs of Writing a Custom Boundary Scan Test

Keysight Technologies ABCs of Writing a Custom Boundary Scan Test Keysight Technologies ABCs of Writing a Custom Boundary Scan Test Article Reprint This article was first published in Circuits Assembly, Printed Circuit Design and Fab in October, 2014. Reprinted with

More information

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 8 Test Standards Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline 1149.1 standard for system-on-board 1500 standard for system-on-chip Advanced

More information

Verifying the Correctness of the PA 7300LC Processor

Verifying the Correctness of the PA 7300LC Processor Verifying the Correctness of the PA 7300LC Processor Functional verification was divided into presilicon and postsilicon phases. Software models were used in the presilicon phase, and fabricated chips

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

SyncML Overview. Noel Poore, Psion Computers PLC

SyncML Overview. Noel Poore, Psion Computers PLC SyncML Overview Noel Poore, Psion Computers PLC Data synchronization is a field of growing importance. As the number of mobile devices increases rapidly in the next few years, more and more data is going

More information

Error Detection by Code Coverage Analysis without Instrumenting the Code

Error Detection by Code Coverage Analysis without Instrumenting the Code Error Detection by Code Coverage Analysis without Instrumenting the Code Erol Simsek, isystem AG Exhaustive testing to detect software errors constantly demands more time within development cycles. Software

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

Transform your network and your customer experience. Introducing SD-WAN Concierge

Transform your network and your customer experience. Introducing SD-WAN Concierge Transform your network and your customer experience Introducing SD-WAN Concierge Optimize your application performance, lower your total cost of ownership and simplify your network management. 2X Bandwith

More information

A unified multicore programming model

A unified multicore programming model A unified multicore programming model Simplifying multicore migration By Sven Brehmer Abstract There are a number of different multicore architectures and programming models available, making it challenging

More information

Transform your network and your customer experience. Introducing SD-WAN Concierge

Transform your network and your customer experience. Introducing SD-WAN Concierge Transform your network and your customer experience Introducing SD-WAN Concierge Optimize your application performance, lower your total cost of ownership and simplify your network management. 2X Bandwith

More information

Five Ways to Build Flexibility into Industrial Applications with FPGAs

Five Ways to Build Flexibility into Industrial Applications with FPGAs GM/M/A\ANNETTE\2015\06\wp-01154- flexible-industrial.docx Five Ways to Build Flexibility into Industrial Applications with FPGAs by Jason Chiang and Stefano Zammattio, Altera Corporation WP-01154-2.0 White

More information

AMD Disaggregates the Server, Defines New Hyperscale Building Block

AMD Disaggregates the Server, Defines New Hyperscale Building Block AMD Disaggregates the Server, Defines New Hyperscale Building Block Fabric Based Architecture Enables Next Generation Data Center Optimization Executive Summary AMD SeaMicro s disaggregated server enables

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

Wednesday 3/12/14 10:30am

Wednesday 3/12/14 10:30am Wednesday 3/12/14 10:30am FEEL THE BUN-IN Burn-in is used to ensure a device's reliability and lifetime. The two papers in this final session look at parallel burn-in methods. The first presents an overview

More information

FOUR INDEPENDENT TOOLS TO MANAGE COMPLEXITY INHERENT TO DEVELOPING STATE OF THE ART SYSTEMS. DEVELOPER SPECIFIER TESTER

FOUR INDEPENDENT TOOLS TO MANAGE COMPLEXITY INHERENT TO DEVELOPING STATE OF THE ART SYSTEMS. DEVELOPER SPECIFIER TESTER TELECOM AVIONIC SPACE AUTOMOTIVE SEMICONDUCTOR IOT MEDICAL SPECIFIER DEVELOPER FOUR INDEPENDENT TOOLS TO MANAGE COMPLEXITY INHERENT TO DEVELOPING STATE OF THE ART SYSTEMS. TESTER PragmaDev Studio is a

More information

Network protocols and. network systems INTRODUCTION CHAPTER

Network protocols and. network systems INTRODUCTION CHAPTER CHAPTER Network protocols and 2 network systems INTRODUCTION The technical area of telecommunications and networking is a mature area of engineering that has experienced significant contributions for more

More information

6WINDGate. White Paper. Packet Processing Software for Wireless Infrastructure

6WINDGate. White Paper. Packet Processing Software for Wireless Infrastructure Packet Processing Software for Wireless Infrastructure Last Update: v1.0 - January 2011 Performance Challenges for Wireless Networks As advanced services proliferate and video consumes an ever-increasing

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

A SpaceWire Implementation of Chainless Boundary Scan Architecture for Embedded Testing

A SpaceWire Implementation of Chainless Boundary Scan Architecture for Embedded Testing A SpaceWire Implementation of hainless Boundary Scan Architecture for mbedded Testing Session: SpaceWire onboard equipment and software embedded Short Paper Mohammed Ali & r. mar mam AS Astrium Ltd -mail:,

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

Boundary Scan: Technology Update

Boundary Scan: Technology Update ASSET InterTech, Inc. Boundary Scan: Technology Update Doug Kmetz Sales Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting May 5, 2010 Overview ASSET InterTech Driving Embedded Instrumentation

More information

HomePlug AV2 Technology

HomePlug AV2 Technology HomePlug AV2 Technology Raising the Bar for Sustained High-Throughput Performance and Interoperability for Multi-stream Networking Using Existing Powerline Wiring in the Home. Copyright 2013-2015, HomePlug

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL Ian Saunders Ians@jtag.co.uk JTAG TECHNOLOGIES B.V. UK Sales & Support Centre Tel: 01234 831212 Fax: 01234 831616 Design For Test - Component Selection

More information

A Unifying Standard for Interfacing Transducers to Networks IEEE

A Unifying Standard for Interfacing Transducers to Networks IEEE A Unifying Standard for Interfacing Transducers to Networks IEEE-1451.0 James Wiczer, Ph.D. President Smart Sensor Interface Research and Development Group Sensor Synergy, Inc. 1110 W. Lake Cook Rd. Suite

More information

Using On-Board Optics for Networking Technology Innovation

Using On-Board Optics for Networking Technology Innovation Using On-Board Optics for Networking Technology Innovation OVERVIEW OF THE ON-BOARD OPTICAL MODULE RELEASE 1.0 SPECIFICATION The Consortium for Onboard Optics March 2018 TABLE OF CONTENTS Introduction

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up

White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up White Paper: Non-Intrusive Board Bring-Up: Software tools ensure fast prototype bring-up By Alan Sguigna Vice President, Sales and Marketing ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway,

More information

Programming in the MAXQ environment

Programming in the MAXQ environment AVAILABLE The in-circuit debugging and program-loading features of the MAXQ2000 microcontroller combine with IAR s Embedded Workbench development environment to provide C or assembly-level application

More information

FlexRay The Hardware View

FlexRay The Hardware View A White Paper Presented by IPextreme FlexRay The Hardware View Stefan Schmechtig / Jens Kjelsbak February 2006 FlexRay is an upcoming networking standard being established to raise the data rate, reliability,

More information

IEEE P1687 (IJTAG) Status

IEEE P1687 (IJTAG) Status IEEE P1687 (IJTAG) Status May 2, 2006 at VTS Core team: Ken Posse, Chairman Al Crouch, Vice-Chairman Jeff Rearick, Editor Ben Bennetts Jason Doege Bill Eklow Mike Laisne Mike Ricchetti IEEE-SA Standards

More information

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions Solving Today s Interface Challenges With Ultra-Low- Density FPGA Bridging Solutions August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Digital Power Comes of Age

Digital Power Comes of Age This article looks at the evolution of distributed power architectures since the introduction of the first high-frequency switching dc-dc converter modules back in 1984. It describes the factors that have

More information

How to Choose the Right Bus for Your Measurement System

How to Choose the Right Bus for Your Measurement System 1 How to Choose the Right Bus for Your Measurement System Overview When you have hundreds of different data acquisition (DAQ) devices to choose from on a wide variety of buses, it can be difficult to select

More information

Storage Networking Strategy for the Next Five Years

Storage Networking Strategy for the Next Five Years White Paper Storage Networking Strategy for the Next Five Years 2018 Cisco and/or its affiliates. All rights reserved. This document is Cisco Public Information. Page 1 of 8 Top considerations for storage

More information

Introduction to iscsi

Introduction to iscsi Introduction to iscsi As Ethernet begins to enter into the Storage world a new protocol has been getting a lot of attention. The Internet Small Computer Systems Interface or iscsi, is an end-to-end protocol

More information

Title: Using low-power dual-port for inter processor communication in next generation mobile handsets

Title: Using low-power dual-port for inter processor communication in next generation mobile handsets Title: Using low-power dual-port for inter processor communication in next generation mobile handsets Abstract: The convergence of mobile phones and other consumer-driven devices such as PDAs, MP3 players,

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

AMD HyperTransport Technology-Based System Architecture

AMD HyperTransport Technology-Based System Architecture AMD Technology-Based ADVANCED MICRO DEVICES, INC. One AMD Place Sunnyvale, CA 94088 Page 1 AMD Technology-Based May 2002 Table of Contents Introduction... 3 AMD-8000 Series of Chipset Components Product

More information

On-Chip Design Verification with Xilinx FPGAs

On-Chip Design Verification with Xilinx FPGAs On-Chip Design Verification with Xilinx FPGAs Application Note 1456 Xilinx Virtex-II Pro devices have redefined FPGAs. The Virtex-II Pro brings with it not only a denser and faster FPGA, but an IBM PPC

More information

Transforming the way people watch TV

Transforming the way people watch TV Transforming the way people watch TV Nokia Siemens Networks Ubiquity Multiscreen TV Platform - Executive summary An open solution for delivering TV and Internet as a single service on any device over any

More information