SpaceWire Link Interface RTL Verification

Size: px
Start display at page:

Download "SpaceWire Link Interface RTL Verification"

Transcription

1 SpaceWire Link Interface RL Verification SpaceWire Link Interface RL Verification Ref: UoD_Link_Verif VHDL Code Issue: Document Revision: 1.0 Date: 1 Aug-2003 Document Change log Date Revision No Comments 28/11/02 draft A Initial 01/08/ New revision number. References to other link documents updated.

2 SpaceWire Link Interface RL Verification I CONENS I CONENS... 2 II LIS OF FIGURES... 4 III LIS OF ABLES INRODUCION ERMS AND ACRONYMS DOCUMENS VERIFICAION SRAEGY ESBENCH ENVIRONMEN Command Script SpaceWire Link Interface estbench UU Log File and Screen Output VERIFICAION MEHODS VHDL code review/analysis VHDL code coverage estbench verification Verification log output file Asynchronous Signals ESBENCH DIRECORY SRUCURE VERIFICAION MARIX ES CASES Configuration analysis Signal Level est Cases Character Level est Cases Exchange Level est Cases Flow Control est Cases Initialisation State Machine est Cases Exchange Level Error est Cases Link iming Network Level est Cases Other functions of UU-link test cases ES CASE SUMMARY... 29

3 SpaceWire Link Interface RL Verification 4.3 ES CASES CONFORMANCE SUMMARY Physical Level Signal Level Character level Exchange level FC usage Encoder decoder block diagram State machine Others Error Detection imecodes imings Packet Level Network Level VERIFICAION ESBENCH AND MODIFICAIONS ESBENCH OVERVIEW ADDIIONS AND MODIFICAIONS O HE EXISING ESBENCH est script hierarchy UoD_Ctrl Status Check Event Compare CODE ANALYSIS ES CASES NON AUO-CHECK ES CASES EXC.FC OFN ASYNCHRONOUS SIGNAL ANALYSIS RECEIVER RANSMIER RECEIVER CREDI VHDL CODE COVERAGE VERIFICAION PROCEDURE SIMULAOR COMMANDS COMMAND FILE CONFIGURAION IDENIY VERIFICAION OUPU... 59

4 SpaceWire Link Interface RL Verification 10.5 VERIFICAION COMPLEION II LIS OF FIGURES Figure 2-1 estbench Environment... 8 Figure 2-2 Verification log output file Figure 3-1 CVS repository Directory structure Figure 7-1 Non Auto-Check test cases Figure 7-2.EXC.FC Figure 7-3.OFN.3 non auto-check test case III LIS OF ABLES able 1-1 Applicable Documents... 6 able 4-1 Configuration verification analysis able 4-2 Signal Level est Cases able 4-3 Character Level est Cases able 4-4 Flow Control est Cases able 4-5 State ErrorReset est Cases able 4-6 ErrorWait test cases able 4-7 State Ready test cases able 4-8 State Started test cases able 4-9 State Connecting test cases able 4-10 State Run test cases able 4-11 Exchange level error test cases able 4-12 Link timing test cases able 4-13 Network Level test cases able 4-14 Other Functions test cases able 4-15 est cases summary able 4-16 Physical level conformance able 4-17 Signal level conformance... 34

5 SpaceWire Link Interface RL Verification able 4-18 Character level conformance able 4-19 Exchange level conformance able 4-20 Exchange level FC conformance able 4-21 Encoder decoder block diagram conformance able 4-22 State machine conformance able 4-23 State ErrorReset conformance able 4-24 State ErrorWait conformance able 4-25 State Ready conformance able 4-26 State Started conformance able 4-27 State Connecting conformance able 4-28 State Run conformance able 4-29 Exchange level others conformance able 4-30 Exchange level error conformance able 4-31 imecodes conformance able 4-32 Link timings conformance able 4-33 Packet level conformance able 4-34 Network level conformance able 8-1 txencode synchronisation able 9-1 Coverage report file... 55

6 SpaceWire Link Interface RL Verification 1. INRODUCION his document presents the verification for the SpaceWire Link Interface VHDL Description as prepared by the University of Dundee. he verification includes.. Verification strategy description. A Verification matrix which cross-references the test cases to be performed with [AD1]. A description of the verification testbench and modifications. VHDL code analysis of test cases which are covered by code analysis An analysis of Asynchronous signal usage in the link interface. VHDL code coverage of all test cases performed. est case simulator run. 1.1 ERMS AND ACRONYMS UoD AAE UU-link B-link LFSR University of Dundee Austrian Aerospace Ges.m.b.H Unit Under est (University of Dundee Link Interface) estbench Link Interface Linear Feedback Shift Register 1.2 DOCUMENS In this section the documents referenced in this document are listed. ECSS-E-50-12A [AD1] European Cooperation for Space Standardization, SpaceWire Links, Nodes, Routers and Networks March ESA VHDL Modelling Guidelines [AD2] ESA VHDL guidelines for IP and system development. SpaceWire link interface VHDL User Manual (UoD_Link_User SpaceWire link interface VHDL Functional Description (UoD_Link) [AD3] [AD4] User manual for the SpaceWire link interface under verification in this document. Functional description of the SpaceWire link interface under verification in this document able 1-1 Applicable Documents

7 SpaceWire Link Interface RL Verification

8 SpaceWire Link Interface RL Verification 2. VERIFICAION SRAEGY he verification is based on the use of a Go/No-Go VHDL testbench to exhaustively test the SpaceWire link interface. he verification environment is shown in Figure 2-1 and discussed in section ESBENCH ENVIRONMEN SpaceWire link Interface estbench UU Cmd Script Cmd Script Cmd Script Cmd Script Log File Screen Output Cmd Script Cmd Script Figure 2-1 estbench Environment he testbench consists of a command script file, a unit under test which is the SpaceWire link interface, a VHDL testbench, an output log file and terminal screen output. he following sections outline the function of each testbench element Command Script. he command script inputs the tests to be performed by the testbench environment in a sequential manner. It is the purpose of this document via the verification matrix to set the contents of the input command script file. Command script files can reference other command script files. his allows test runs to be repeated for different configurations SpaceWire Link Interface estbench he SpaceWire link interface testbench is based on the Austrian Aerospace SSEPPL testbench with substantial enhancements. he testbench consists of an ideal behavioural SpaceWire link interface which connects to the serial bit stream input and output from the UU. he testbench environment drives the inputs of the UU and checks the output from the UU dependant on the

9 SpaceWire Link Interface RL Verification commands read from the command script file. Where possible automatic checks are used to determine if the tests are successful. ests which are automatic are indicated in the verification matrix. Note: he document ASIC-N-0003-AAE describes the testbench environment in detail UU he UU is the SpaceWire link interface VHDL RL description Log File and Screen Output he log file and screen output allow the user to determine if the test run was successful. he log files are spilt into testbench working output and verification log output. he testbench working output indicates the normal running output of the testbench. his includes information about state changes or data transfers which are currently taking place. he verification log output indicates the test cases which are being run and the verification status of the current test number. he contents of this file are outlined in section he screen output mirrors the contents of the verification test output. 2.2 VERIFICAION MEHODS he verification methods employed in the SpaceWire link interface verification are outlined in the following sections VHDL code review/analysis VHDL code review shall be employed in the verification of the SpaceWire link interface. Code review shall be performed internally and documented in section 6. VHDL code analysis is performed for test cases that cannot be verified by the testbench. hese test cases are marked in the verification matrix as analysis (A) VHDL code coverage VHDL code coverage will be performed by the Modelsim VHDL simulator code coverage option. he code coverage output is a code coverage report which indicates the following. Percentage of VHDL code executed in VHDL architectures. Line numbers which were not covered by the test run. he expected code coverage for the verification is 100% of all VHDL statements. Exceptions include finite state machine descriptions which include the VHDL others statement.

10 SpaceWire Link Interface RL Verification Any VHDL statements which are not covered by the testbench are documented in section estbench verification Automatic testbench verification shall be employed to determine if the status of output signals matches the expected result. he status of output signals can include the status of received data and timecode transfers. Expected results are documented in the verification log output file and the verification matrix Verification log output file he verification log output file indicates the status of the verification test cases as they are run by the VHDL simulator. he log output file format is defined in Figure 2-2. Each log statement is preceded by the simulator time when the log statement is written. he verification output file name shall be defined in the VHDL configuration file associated with the testbench.

11 SpaceWire Link Interface RL Verification ======================================================= == IME: est Number == IME: est Description Summary == IME: Verification strategy == IME: Auto-Check [ / No] == IME: est Status [Success / Failure / NA] == IME: Further information and notes if applicable Figure 2-2 Verification log output file. Note: If the test is unsuccessful the command in the input command script file determines if the testbench is stopped Asynchronous Signals he analysis of asynchronous signals and interfaces is reported in the verification document at section 8.

12 SpaceWire Link Interface RL Verification 3. ESBENCH DIRECORY SRUCURE he testbench directory structure is shown in figure codec src Scripts compile msim Modelsim compilation vhdl sim Sources msim Modelsim testbench run scripts Verif tb cmdseg estbench command files cfg SpaceWire CODEC configurations Figure 3-1 CVS repository Directory structure All scripts should be run from codec/src/verify/tb o compile the VHDL files for modelsim then run the script: codec/src/scripts/compile/msim/compile_codec_allcfg.sh o run the simulation the run the do file: codec/src/scripts/sim/msim/loadsim.do

13 SpaceWire Link Interface RL Verification 4. VERIFICAION MARIX he verification matrix details the test cases which are performed on the SpaceWire link interface. his section includes the following: A section titled test cases which lists the test cases performed in hierachical order. his section cross references the test cases with the clause or sub-clause in the SpaceWire standard [AD1]. A verification strategy is included with each test cases and defines the verification method. A summary of the test cases to be performed. A SpaceWire link interface conformance summary table which lists all the clauses from the SpaceWire standard [AD1] which are applicable to the SpaceWire link interface (See [AD1] section table 19). 4.1 ES CASES he test cases verification matrix below defines the test procedures which are performed on the UoD Link Interface. he purpose of the test cases is to verify the function of the SpaceWire link interface. he following points describe the columns in the verification matrix table: est number which is the reference used when referring to the test. Cross reference number with the SpaceWire standard [AD1]. he cross reference number indicates the section number in the SpaceWire standard [AD1] Description of the referenced clause in the SpaceWire standard [AD1]. Description of the verification method used to test the requirement. /A, est is performed by the testbench, A est is performed by analysis. Is the test performed automatically by the verification testbench Configuration analysis he UoD SpaceWire link interface can be configured to suit the users application. he configuration options and the verification method used is outlined below. he testbench has the ability to load test script files Configuration option Affects Verification CFG_PIPELINE CFG_DDROU op level global configuration op level transmit encoding method All testcases are run with CFG_PIPELINE= 0 and CFG_PIPELINE= 1 All testcases are run with CFG_DDROU= 0 and CFG_DDROU = 1.

14 SpaceWire Link Interface RL Verification = 1. CFG_BICLK ransmit bit clock configuration. All test cases are run for each CFG_BICLK configuration CFG_SYNCRDCLK Receive buffer clock CFG_SYNCRDCLK is used in XCLK_DEFAUL configuration CFG_DISCARD_EMPY_PK CFG_MAXCREDI CFG_RXUSELACHES Empty packet handling by receiver Maximum outstanding N-chars Receiver n-char resynchronisation storage est case.exc.err.5 covers CFG_DISCARD_EMPY_PK. Covered in test cases.exc.fc.cred.(1-4) est cases are performed for CFG_RXUSELACHES = 0 and CFG_RXUSELACHES = 1. able 4-1 Configuration verification analysis Signal Level est Cases Signal level test cases correspond to section 6 of the SpaceWire standard [AD1]. est No. Reference No..SIG a Data Strobe Encoding Interface Shall be Used Reference Description Verification Strategy /A Auto.SIG b Data shall be logic 1 when the data bit stream is high. Data shall be logic 0 when the data bit stream is low..sig b Strobe shall change when Data does not change.sig a he SpaceWire receiver shall be tolerant to simultaneous transitions on Data and Strobe..SIG b No simultaneous transitions shall occur on Data or Strobe when a reset is performed..sig he minimum data signalling rate before receiver disconnection detection shall be 2 Mbit/s Link operation Link operation Link operation Set WireInD and WireInS to 0 when both 1 Perform a reset of the UUlink core for each DOU/SOU state, e.g. (0,0), (0,1) etc. Check for simultaneous transitions Set input bit stream data rate to 2 Mbit/s. and check no disconnect occurs

15 SpaceWire Link Interface RL Verification detection shall be 2 Mbit/s.SIG he SpaceWire link shall operate at any data signalling rate from the maximum to the minimum. Vary the data signalling rate from the minimum to the maximum while data transfer is performed..sig he SpaceWire link transmitter shall initially operate at 10Mbit/s +/- 10% after a reset is performed Start-up the UU-link after reset and check the data signalling rate..sig he SpaceWire link transmitter shall initially operate at 10Mbit/s +/- 10% after a disconnection is performed Perform a disconnection of the UU-link and check the data signalling rate..sig he SpaceWire link transmitter data signalling rate shall not be changed until the state machine moves to state Run Start-up the UU-link after reset and check the data signalling rate once the run state has been entered. able 4-2 Signal Level est Cases Character Level est Cases Character level test cases correspond to the SpaceWire character level defined in the SpaceWire standard [AD1] section 7. est No. Reference No. Reference Description Verification Strategy /A Auto.CHA.1.a 7.2 he data character sequence shall contain a parity-bit, a data-control flag set to zero and eight bits of data. UU-link transmits a series of data characters after reset. he B-link receives data characters without parity error..cha.1.b 7.2 B-link sends a series of data characters after reset. he UUlink receives data characters without parity error

16 SpaceWire Link Interface RL Verification.CHA.2.a 7.2 he data character data bits shall be transmitted LSB to MSB. UU-link send data characters with the LSB set to one after reset. B-link receives data character with correct bit alignment..cha.2.b 7.2 B-link sends data characters with the LSB set to one. UUlink receives data characters with correct bit alignment..cha.3.a 7.3.a he control character sequence shall contain a parity-bit, a data-control flag set to one and a two bit control code. UU-link sends control characters on start-up and normal operation. B-link starts up and receives control characters..cha.3.b 7.3.a B-link sends control characters on start-up and normal operation. UU-link starts up and receives control characters..cha.4.a 7.3.a he flow control token two bit identifier shall be 00 UU-link transmits FC characters at start-up as part of NULL character and as flow control. B-link receives NULLs and FCs and starts up..cha.4.b 7.3.a.CHA.5.a 7.3.a he EOP control token two bit identifier shall be 01 B-link transmits FC at start-up as part of NULL character and flow control. UU-link receives FC and NULL and starts up UU-link send data characters ending with an EOP character. Blink receives data characters followed by EOP.

17 SpaceWire Link Interface RL Verification.CHA.5.a 7.3.a B-link send data characters ending with an EOP character. UU-link receives data characters followed by EOP..CHA.6.a 7.3.a he EEP control token two bit identifier shall be 10 UU-link send data characters ending with an EEP character. Blink receives data characters followed by EEP..CHA.6.b 7.3.a B-link send data characters ending with an EEP character. UU-link receives data characters followed by EEP.CHA.7.a 7.3.a he ESC control token two bit identifier shall be 11 UU-link transmits NULL characters at start-up which contain the ESC pattern. Blink detects NULL characters and perform link start-up..cha.7.b 7.3.a B-link transmits NULL characters at start-up. UU-link detects NULL characters and performs link start-up..cha.8.a 7.3.b he NULL character shall be formed by an ESC character followed by an FC character. he parity-bit in the middle of a NULL character shall be zero. UU-link transmits NULL characters at start-up. B-link receives NULL pattern and performs start-up without parity error..cha.8.b 7.3.b B-link transmits NULL characters at start-up. UU-link receives NULL pattern and performs start-up without parity error..cha.8.c 7.3.b B-link transmits NULL with incorrect parity bit. Parity Error is detected at UU-link

18 SpaceWire Link Interface RL Verification.CHA.9.a 7.3.c he timecode character shall be formed by an ESC character followed by data character. he timecode character middle parity bit UU-link transmits series of timecodes. B-link receives timecode characters without parity error..cha.9.b 7.3.c shall be one. B-link transmits series of timecodes. UU-link receives timecode characters without parity error..cha.9.c 7.3.c B-link transmits timecodes with incorrect parity bit. UU-link detects parity error..cha.10.a 7.3.f An ESC character followed by EOP, EEP or ESC shall be noted as an escape error. B-link transmits ESC followed by EOP. UU-link detects escape error and disconnects.cha.10.b 7.3.f B-link transmits ESC followed by EEP. UUlink detects escape error and disconnects.cha.10.c 7.3.f B-link transmits ESC followed by ESC. UU-link detects escape error and disconnects.cha.11.a 7.4 he parity bit shall be set to produce odd parity. UU-link transmits data and control characters. B-link does not report parity error..cha.11.b 7.4 B-link transmits data and control characters with correct parity bit. UU-link does not report parity error..cha.11.c 7.4 B-link transmits characters with incorrect parity bit set. UU-link reports parity error and disconnects.

19 SpaceWire Link Interface RL Verification.CHA.12.a 7.5 After reset the strobe signal shall transition before the data signal for the first NULL character. B-link starts up initially after reset with NULL pattern. UUlink detects first NULL pattern and starts up. successfully..cha.12.b 7.5 B-link starts up initially after reset with incorrect first NULL pattern. UU-link does not start-up..cha.12.c 7.5 UU-link starts up initially after reset and transmits correct NULL pattern. B-link starts up link connection.cha.13.a 7.6 he transmitter and receiver UU-link transmits data, EOP and EEP characters from host using control flag protocol. B-link receives data, EOP and EEP characters..cha.13.b 7.6 host data interfaces comprise a control flag and eight data bits. When control bit is low then the eight data bits are a data character. When control bit is high then the LSB denotes an EOP or EEP character..cha a he time interface shall comprise two signals ICK_IN and ICK_OU, a six bit time output and a six bit time input, a two bit control flag input and a two bit control flag output..cha b When ICK_IN is asserted in the Run state a the transmitter shall send a timecode character..cha.16.a 7.7.c. ICK_OU shall be asserted when the interface is in the Run state and a timecode is received B-link transmits data, EOP and EEP. UUlink receives data, EOP and EEP using correct protocol Code-Analysis A - UU-link transmits timecode. B-link receives timecode B-link initiates startup and transmits timecode. UU-link receives timecode in Run state

20 SpaceWire Link Interface RL Verification.CHA.16.b 7.7.c B-link transmits timecode before initiating start-up. UU-link ICK_OU is not asserted. able 4-3 Character Level est Cases Exchange Level est Cases Exchange level test cases correspond to the SpaceWire exchange level in [AD1] section 8. est No. Reference No..EXC N-Chars are the only characters which are passed to the packet level Reference Description Verification Strategy /A Auto.EXC a Only N-Chars shall be passed from the host to the interface to the link for transmission..exc b A received character shall not be acted upon until its parity bit has been checked. Code-analysis A - Code-analysis A - B-link sends data character to UU-link with incorrect parity bit. UU-link does not write character to receiver buffer Flow Control est Cases he flow control test cases correspond to the use of FCs to control the flow of data over the SpaceWire link. est No. Reference No. Reference Description.EXC.FC c For each FC sent space shall be reserved for eight data characters in the receiver buffer..exc.fc d For each FC received the transmitter shall increment the credit counter by eight. he transmitter shall not Verification Strategy /A Auto Code-Analysis, check increment. No data characters transmitted before first FC is received A -

21 SpaceWire Link Interface RL Verification transmit any data characters before the first FC is received..exc.fc e In state ErrorReset the transmitter credit count shall be set to zero.exc.fc f If a received FC causes the transmitter credit count to exceed 56 then transmitter credit error shall be reported..exc.fc i Receiver Credit Counter shall keep a count of the N-Chars it expects to receive. he count shall be incremented by eight when an FC is transmitted and decremented by one when an N-Char is received..exc.fc j At reset or disconnect the outstanding counter shall be set to zero..exc.fc l An FC shall be transmitted when there is room in the outstanding credit count and in the buffer space count..exc.fc n he order of priority for transmission shall be..exc.fc.cred.1 [AD3] section ) imecode 2) FC 3) N-Char 4) Null CFG_MAXCREDI minimum value shall be eight outstanding N-Chars. Only one FC shall be outstanding. After B-link link disconnect check transmitter HAS_CREDI is low. B-link transmit FCs. UU-link reports credit error. Code analysis.. A - Code analysis. A - B-link receives UU-link FCs on start-up. Code check. A - Check number of FCs transmitted at start-up is one

22 SpaceWire Link Interface RL Verification.EXC.FC.CRED.2 [AD3] section 6.10 CFG_MAXCREDI shall be any value from.8 to 56 Set CFG_MAXCREDI value and Check number of FCs transmitted at start-up is = CFG_MAXCREDI/8.EXC.FC.CRED.3 [AD3] section 6.10 When CFG_MAXCREDI is larger than buffer size then only buffer size credit shall be requested Set CFG_MAXCREDI = 56, rxbufaddrlen = 5. Only 4 FCs transmitted by link..exc.fc.cred.4 [AD3] section 6.10 CFG_MAXCREDI is at maximum 56 Check 7 FCs transmitted at startup. Set buffer size to 1K and transmit 1K data. Check receive success able 4-4 Flow Control est Cases Initialisation State Machine est Cases he state machine test cases correspond to the initialisation state machine in section 8 of [AD1] In state ErrorReset est No. Reference No..EXC.ERS a ErrorReset shall be entered on system reset or link operation error..exc.ers b In ErrorReset the transmitter and receiver shall be disabled.exc.ers c After reset is de-asserted then the interface state machine shall move to state ErrorWait after 6.4 us (5.82us to 7.22us nominal) Reference Description Verification Strategy /A Auto able 4-5 State ErrorReset est Cases System reset is performed. UU-link state is ErrorReset UU-link does not detect NULLs from B-link. B-link GotNULL is not asserted After 6.4us delay from reset check UU-link state.

23 SpaceWire Link Interface RL Verification In state ErrorWait est No. Reference No. Reference Description.EXC.ERW a ErrorWait shall only be entered from state ErrorWait.EXC.ERW b he receiver shall be enabled and the transmitter shall be disabled.exc.erw c If a NULL is received then the GotNULL condition shall be set.exc.erw d he ErrorWait state shall be left after 12.8 us to state Ready. (11.64us to 14.33us nominal) Verification Strategy /A Code analysis. A - Code analysis. A - B-link sends NULLs. GotNULL is asserted After 6.4us us from reset check UU-link state.exc.erw.5.a e On disconnect error, parity B-link cause error, escape error or any character other than NULL is received then move to disconnect error. Check UU-link state is ErrorReset..EXC.ERW.5.b e state ErrorReset B-link parity error..exc.erw.5.c e B-link escape error..exc.erw.5.d e B-link send FC.EXC.ERW.5.e e B-link send N- Char.EXC.ERW.5.f e able 4-6 ErrorWait test cases B-link send imecode Auto In state Ready est No. Reference No..EXC.RDY a State Ready shall be entered only from state ErrorWait.EXC.RDY b he receiver is enabled and the transmitter is disabled..exc.rdy c If a NULL is received then the GotNULL Reference Description Verification Strategy /A Auto Code analysis. A - Code analysis. A - B-link sends NULLs. GotNULL is asserted

24 SpaceWire Link Interface RL Verification condition shall be set GotNULL is asserted.exc.rdy.4.a d he state machine shall Assert UU-link move to state Started when [LinkEnabled] is LINK_SAR and check UU-link state is Started..EXC.RDY.4.b d asserted. Assert UU-link AUOSAR and B-link send NULL. Check UUlink state is Started.EXC.RDY.4.c d Asserted UU-link LINK_DISABLE. Check UU-link does not enter Started on LINK_SAR or autostart.exc.rdy.5.a e On disconnect error, B-link cause disconnect parity error, escape error or any character error and check UU-link state is ErrorReset.EXC.RDY.5.b e other than NULL is received then move to B-link parity error..exc.rdy.5.c e state ErrorReset B-link escape error..exc.rdy.5.d e B-link send FC.EXC.RDY.5.e e B-link send N-Char.EXC.RDY.5.f e able 4-7 State Ready test cases B-link send imecode In state Started est No. Reference No..EXC.SA c In state Started the transmitter shall send NULLs.EXC.SA d If a NULL is received then GotNULL shall be asserted.exc.sa e If GotNULL is asserted then the state machine shall move to state Connecting..EXC.SA f At least one NULL shall be requested for transmission before moving to Connecting. Reference Description Verification Strategy /A Auto B-link receives NULLs B-link sends NULLs. GotNULL is asserted UU-link receive NULL and check UUlink state is Connecting. UU-link move from ErrorWait -> Ready -> Started. B-link detects NULL then FCs

25 SpaceWire Link Interface RL Verification.EXC.SA g On disconnect error, parity error, escape error or any character other than NULL is received then move to state ErrorReset B-link cause disconnect error and check UU-link state is ErrorReset.EXC.SA h On 12.8us timeout state machine shall move to ErrorReset B-link does not send NULLs for 12.8 us. Check UU-link state is ErrorReset able 4-8 State Started test cases Note.48. Only disconnect error can be detected in state Started. Parity error and escape error cannot be detected until the first NULL is received. On reception of the first NULL the state machine moves from state Started to Connecting In state Connecting est No. Reference No. Reference Description.EXC.CON c In state Connecting the transmitter shall send NULLs and FCs.EXC.CON d If an FC is received then the state machine shall move to state Run Verification Strategy B-link receives NULLs and FCs B-link sends FC. UU-link check for state Run.EXC.CON.3.a e If disconnect, parity or B-link causes link escape error is detected or any other character other than NULL or FC is disconnect error. Check UU-link state is ErrorReset.EXC.CON.3.b e detected then move to state ErrorReset B-link parity error.exc.con.3.c e B-link credit error.exc.con.3.d e B-link send incorrect character.exc.con.3.e e.EXC.CON f On 12.8us timeout state machine shall move to ErrorReset able 4-9 State Connecting test cases B-link send incorrect character B-link does not send FCs for 12.8 us. Check UU-link state is ErrorReset /A Auto

26 SpaceWire Link Interface RL Verification In state Run est No. Reference No. Reference Description Verification Strategy /A Auto.EXC.RUN.1.a b If the link is disabled or if B-link causes a disconnect error or parity error or escape or credit error is detected disconnect error. Check UU-link state is ErrorReset.EXC.RUN.1.b b then move to state ErrorReset B-link parity error.exc.run.1.c b B-link escape error.exc.run.1.d b B-link credit error.exc.run.1.e b able 4-10 State Run test cases UU-link LINK_DISABLE. check UU-link state is ErrorReset Exchange Level Error est Cases est No. Reference No. Reference Description Verification Strategy /A Auto.EXC.ERR f If a disconnect Error is detected in the Run state then the error shall be reported to the network level. B-link Disconnect error in run state.exc.err c If a parity error is detected in the Run state then the error shall be reported to the network level. B-link Parity error in run state.exc.err c If an escape error is detected in the Run state then the error shall be reported to the network level. B-link Escape error in run state.exc.err c If credit error is detected in the Run state then the error shall be reported to the network level. B-link Escape credit error in run state.exc.err If the next N-Char received after an EOP, EEP is an EOP, EEP then the link interface may discard the second EOP, EEP B-link sends empty packet. UU-link discards second EOP, EEP able 4-11 Exchange level error test cases

27 SpaceWire Link Interface RL Verification Link iming est No. Reference No. Reference Description.EXC.IME he disconnect timeout period of 850ns nominal shall be from 727ns to 1000ns. Verification Strategy /A Auto B-link causes disconnect error. UU-link disconnect error is not reported between 727ns and 1000ns period. able 4-12 Link timing test cases Network Level est Cases est No. Reference No. Reference Description Verification Strategy /A Auto.NE.REC On error if the last character written to the receiver buffer was not an EOP, EEP then add an EEP to the receiver buffer. B-link causes link error. UU-link last packet is terminated with EEP..NE.REC On error delete the tail of the transmitter buffer so the next character to transmit is the head of the next packet. B-link causes link error. UU-link spills head of transmitter packet able 4-13 Network Level test cases Other functions of UU-link test cases his section outlines functions which are not covered in [AD1]. est No..OFN.1.OFN.2 Description Verification Strategy /A Auto he transmitter ICK_IN signal can be asserted for more than one cycle of CLK but remains synchronous to CLK. Only one timecode shall be transmitted he input signal FLUSH_X causes the transmitter to flush packets which are present in the transmitter buffer. UU-link ICK_IN asserted for more than one clock cycle. B-Link receives one imecode. UU-link FLUSH_X is asserted. Packets are written to FIFO. B-link GotNchar is not asserted.ofn.3 Reset Function. Check outputs are as defined in [AD3] No

28 SpaceWire Link Interface RL Verification.OFN.4 External 10MHz clock function as defined in [AD3] section 6.11 code analysis A - able 4-14 Other Functions test cases

29 SpaceWire Link Interface RL Verification 4.2 ES CASE SUMMARY he test cases summary table below lists the tests cases numbers, the cross reference number with [AD1] and a brief description of the test to be performed. est No Reference No est Description.SIG a Data-Strobe encoding.sig b Data shall follow the bit-stream.sig b Strobe shall change when data does not change.sig a Receiver olerant to simultaneous transitions on DIN and SIN.SIG b No simultaneous transitions on DOU and SOU.SIG Minimum data signalling rate is supported.sig Variable data signalling rate..sig Default transmitter data signalling rate after reset..sig Default transmitter data signalling rate after disconnection..sig Default data signalling rate until Run state..cha.1.a 7.2 ransmit with correct data character bit sequence.cha.1.b 7.2 Receive correct data character bit sequence.cha.2.a 7.2 ransmit data LSB to MSB.CHA.2.b 7.2 Receive data LSB to MSB.CHA.3.a 7.3.a ransmit with correct control character bit sequence.cha.3.b 7.3.a Receive with correct control character bit sequence.cha.4.a 7.3.a ransmit FC character.cha.4.b 7.3.a Receive FC character.cha.5.a 7.3.a ransmit EOP character.cha.5.b 7.3.a Receive EOP character.cha.6.a 7.3.a ransmit EEP character.cha.6.b 7.3.a Receive EEP character.cha.7.a 7.3.a ransmit ESC character.cha.7.b 7.3.a Receive ESC character.cha.8.a 7.3.b ransmit NULL character with correct parity bit.cha.8.b 7.3.b Receive NULL character with correct parity bit.cha.8.c 7.3.c Receive NULL character with incorrect parity bit (cause error).cha.9.a 7.3.c ransmit imecode character with correct parity bit.cha.9.b 7.3.c Receive imecode character with correct parity bit.cha.9.c 7.3.c Receive imecode character with incorrect parity bit (cause error)

30 SpaceWire Link Interface RL Verification.CHA.10.a 7.3.f ESC followed by EOP character error detection..cha.10.b 7.3.f ESC followed by EEP character error detection..cha.10.b 7.3.f ESC followed by ESC character error detection..cha.11.a 7.4 UU-link transmits with correct odd parity..cha.11.b 7.4 UU-link receives characters with odd parity correctly..cha.11.c 7.4 UU-link detects parity error which receiving characters with incorrect parity..cha.12.a 7.5 UU-link detects first NULL correctly when strobe transitions before data..cha.12.b 7.5 UU-link does not detect first NULL when initial NULL pattern is incorrect..cha.12.c 7.5 UU-link starts-up after reset and transmits correct bit pattern for first NULL.CHA.13.a 7.6 UU-link transmits data, EOP and EEP characters using host data interface specification correctly..cha.13.b 7.6 UU-link receives data, EOP and EEP characters using host data interface specification correctly..cha a imecode interface signals..cha b ICK_IN assertion in run state shall cause a timecode to be transmitted..cha.16.a 7.7.c UU-link receives a timecode in Run state successfully..cha.16.b 7.7.c UU-link receives a timecode when not in Run state. ICK_OU is not asserted..exc Only N-Chars to packet level.exc a Only N-Chars accepted by transmitter.exc b Receiver parity error character checking.exc.fc c For each FC sent space shall be reserved for eight N- Chars.EXC.FC d For each FC received transmitter credit counter incremented by eight..exc.fc e In state ErrorReset transmitter credit count shall be zero.exc.fc f ransmitter credit error.exc.fc i Receiver expected N-Chars credit counter.exc.fc j At reset or disconnect excepted N-Chars credit counter shall be zero.exc.fc l An FC shall be transmitted when there is room in expected and buffer space receiver credit counts.exc.fc n Character transmission priority.exc.fc.cred.1 [AD3] section 6.10 Maximum outstanding credit at minimum value..exc.fc.cred n Maximum outstanding credit at middle value..exc.fc.cred n Maximum outstanding credit larger than buffer size.

31 SpaceWire Link Interface RL Verification.EXC.FC.CRED n Maximum outstanding credit at maximum value with large buffer size..exc.ers a ErrorReset state entered after system reset.exc.ers b In state ErrorReset the receiver and transmitter are disabled.exc.ers c After 6.4us ErrorReset -> ErrorWait.EXC.ERW a ErrorWait shall only be entered from state ErrorReset..EXC.ERW b Received enabled and receive NULLs. ransmitter disabled.exc.erw c In state ErrorWait if a NULL is received then GotNULL shall be set.exc.erw d After 12.8us ErrorWait -> Ready.EXC.ERW.5.a e In state ErrorWait on disconnect error move to state ErrorReset.EXC.ERW.5.b e In state ErrorWait on escape error move to state ErrorReset.EXC.ERW.5.c e In state ErrorWait on parity error move to state ErrorReset.EXC.ERW.5.d e In state ErrorWait on FC received move to state ErrorReset.EXC.ERW.5.e e In state ErrorWait on N-Char received move to state ErrorReset.EXC.ERW.5.f e In state ErrorWait on imecode received move to state ErrorReset.EXC.RDY a Ready shall only be entered from state ErrorWait..EXC.RDY b In state ready receive NULLs UU-link GotNULL is asserted. ransmitter is disabled, B-link GO_NULL is not asserted..exc.rdy c In state Ready if a NULL is received then GotNULL shall be set..exc.rdy.4.a d State Ready -> Started when LINK_SAR and not LINK_DISABLED.EXC.RDY.4.b d State Ready -> Started when GotNULL and AUO_SAR and not LINK_DISABLED.EXC.RDY.4.c d Remain in Ready state when LINK_DISABLED..EXC.RDY.5.a e State Ready -> ErrorReset on disconnect error.exc.rdy.5.b e State Ready -> ErrorReset on parity error.exc.rdy.5.c e State Ready -> ErrorReset on escape error.exc.rdy.5.d e State Ready -> ErrorReset on FC received.exc.rdy.5.e e State Ready -> ErrorReset on N-Char received.exc.rdy.5.f e State Ready -> ErrorReset on imecode received.exc.sa c In state Started the transmitter shall send NULLs.EXC.SA d In state Started if a NULL is received then GotNULL is asserted.

32 SpaceWire Link Interface RL Verification.EXC.SA e In state Started then the state machine shall move to state Connecting..EXC.SA f At least one NULL shall be requested for transmission before moving to state connecting.exc.sa g State Started -> ErrorReset on disconnect error.exc.sa h State Started -> ErrorReset on 12.8us timeout.exc.con c In state Connecting the transmitter shall send NULLs and FCs.EXC.CON d In state Connecting if an FC is received then move to state Run.EXC.CON.3.a e State Connecting -> ErrorReset on disconnect error.exc.con.3.b e State Connecting -> ErrorReset on parity error.exc.con.3.c e State Connecting -> ErrorReset on escape error.exc.con.3.d e State Connecting -> ErrorReset on N-Char received.exc.con.3.e e State Connecting -> ErrorReset on imecode received.exc.con f State Connecting -> ErrorReset on 12.8us timeout.exc.run.1.a b State Run -> ErrorReset on disconnect error.exc.run.1.b b State Run -> ErrorReset on parity error.exc.run.1.c b State Run -> ErrorReset on escape error.exc.run.1.d b State Run -> ErrorReset on credit error.exc.run.1.e b State Run -> ErrorReset on LINK_DISABLE..EXC.ERR Empty packet error handling..ne.rec Receiver buffer error recovery procedure.ne.rec ransmitter buffer error recovery procedure.ofn.1 n/a ICK_IN number of cycle timings.ofn.2 n/a ransmitter buffer FLUSH_X usage.ofn.3 n/a Outputs on reset function..ofn.4 n/a External 10MHz clock enable function. able 4-15 est cases summary

33 SpaceWire Link Interface RL Verification 4.3 ES CASES CONFORMANCE SUMMARY Section of the SpaceWire standard [AD1] lists the relevant clauses and sub-clauses which are required for the SpaceWire link interface. he following table defines each relevant clause and sub clause. ests cases which are associated with the clause are defined in the table. Note: Clauses and subclasses which are not relevant or are covered in other test cases are printed in italics. Note: est Numbers which have prefixes a,b,c etc. are shortened for clarity Physical Level Ref No est No Reference Summary Notes SpaceWire Connectors n/a PCB racks usage n/a able 4-16 Physical level conformance Signal Level Ref No est No Reference Summary Notes Low Voltage Differential signalling shall be used n/a Failsafe operation of LVDS n/a a.SIG.1 Data Strobe Encoding shall be used b.SIG.2,.SIG.3 Data value shall follow bit stream value. Strobe shall change when Data Changes a.SIG.4 Receiver shall be tolerant to simultaneous transitions on DIN and SIN b.SIG.5 No simultaneous transitions shall occur on DOU and SOU LVDS shall be used for data and strobe signals n/a A SpaceWire link shall comprise of two pairs of differential signals in both directions SIG.6 Minimum data signalling rate Maximum data signalling rate is dependant on signal skew and jitter SIG.7 SpaceWire link shall operate at any data signalling rate from the maximum to the minimum. he link in one direction can operate at a different data signalling rate than the link in the other direction Effects of skew and jitter n/a n/a n/a

34 SpaceWire Link Interface RL Verification SIG.8 Initial data signalling rate after reset SIG.9 Initial data signalling rate after link disconnection SIG.10 he transmitter data signalling rate shall not be changed until the state machine moves to the Run state able 4-17 Signal level conformance Character level Ref No est No Reference Summary Notes General Description of character level n/a 7.2.CHA.1,.CHA a.CHA.3,.CHA.4,.CHA.5,.CHA.6,.CHA.7 Data character bit definition. Data bits shall be transmitted LSB to MSB Control character bit sequence definition. Control character code fields :- FC -> 00 EOP -> 01 EEP -> 10 ESC -> b.CHA.8 NULL character bit sequence definition. NULL character middle parity bit usage 7.3.c.CHA.9 imecode character bit sequence definition, imecode character middle parity bit usage. 7.3.d - imecode character shall be least significant six bytes of a timecode character transmitted. he two most significant bits shall be the timecode control-flag 7.3.e.CHA.10 An Escape character followed by escape, EOP or EEP shall be noted as an escape error 7.4.CHA.11 he parity bit shall be set to produce odd parity 7.5.CHA.12 Data-Strobe shall be set to zero after reset. Data-Strobe bit pattern after transmitter enabled. 7.6.CHA.13 N-char host interface control bit usage. 7.7.a.CHA.14 he timecode interface shall comprise two signals ICK_IN and ICK_OU, six bit timecode input and output signals and two bit timecode control-flag input and output. est cases cover all control character transmission and reception UU-link accepts timecodes as eight bit characters on the input signal IME_IN. External host controls timecode control bit fields. imecode transmission is covered in.cha.9 imecodes are accepted as an eight bit character. Bits 5-0 are timecode and bits

35 SpaceWire Link Interface RL Verification bit timecode control-flag input and output. 7.7.b.CHA.15 ICK_IN asserted shall cause a timecode to be transmitted in the Run state. 7.7.c.CHA.16 ICK_OU shall be asserted when a timecode is received and the transmitter is in the Run state. 7.7.d - Only one node in a system shall have an active ICK_IN signal. 7.7.e - All other nodes shall keep ICK_IN deasserted. 7.7.f - A six bit time counter shall be provided from the link receiver to the local time counter. 7.7.g - A six bit time input shall be provided to the transmitted from the local time counter 7-6 are the controlflag he time master ICK_IN controller is a system level issue As above. Covered in.cha.14 Covered in.cha.14 able 4-18 Character level conformance Exchange level Ref No est No Reference Summary Notes General Description of exchange level n/a EXC.1 N-Chars are the only characters which are passed to the packet level a.EXC.2 Only N-Chars shall be passed from the host interface to the link b.EXC.3 Received characters shall not be acted upon until the parity bit is checked. able 4-19 Exchange level conformance FC usage Ref No est No Reference Summary Notes 8.3.a - Flow control tokens shall be transferred over the link to control data transfers. 8.3.b - FC sent to indicate there is room for eight more data N-Chars 8.3.c.EXC.FC.1 For each FC sent space shall be reserved for eight more N-Chars 8.3.d.EXC.FC.2 ransmitter credit counter increment by eight for each FC received Overview of FC usage Covered in 8.3.c

36 SpaceWire Link Interface RL Verification 8.3.e.EXC.FC.3 In state ErrorReset the transmitter credit count shall be set to zero. 8.3.f.EXC.FC.4 ransmitter credit error when credit counter is greater than g - Maximum amount of credit is 56 Covered in 8.3.f 8.3.h - On reset the number of FCs to send shall be set to the size of the receiver credit counter 8.3.i.EXC.FC.5 Receiver expected characters credit counter shall be incremented by eight when a FC is transmitted and decremented by one when an N- Char is written to the buffer 8.3.j.EXC.FC.6 At reset the expected characters count shall be set to zero. 8.3.k - Expected characters credit counter shall hold a maximum of 56 characters. 8.3.l.EXC.FC.7 An FC shall be transmitted when there is room in the outstanding credit counter and in the buffer space count. 8.3.m.EXC.FC.8 FCs transmitted when no imecodes. N-Chars transmitted when no FCs or imecodes. NULLs transmitted when no FCs, imecodes or N- Chars. 8.3.n.EXC.FC.8 ransmission priority able 4-20 Exchange level FC conformance Covered in.exc.fc.2 Covered in.exc.fc Encoder decoder block diagram Ref No est No Reference Summary Notes Encoder decoder block diagram general informative ransmitter functions and host interface Informative ransmitter clock description Covered in Receiver functions and states. Informative Receiver clock recovery functions Informative State Machine functions Informative imer functions Informative Receiver buffer management Informative Receiver FIFO buffering Informative able 4-21 Encoder decoder block diagram conformance n/a

37 SpaceWire Link Interface RL Verification State machine Ref No est No Reference Summary Notes State machine general description n/a General description of state machine states n/a able 4-22 State machine conformance In State ErrorReset Ref No est No Reference Summary Notes a.EXC.ERS.1 ErrorReset shall be entered on system reset or link operation error b.EXC.ERS.2 ransmitter and receiver are disabled in state ErrorReset c.EXC.ERS.3 After 6.4us ErrorReset -> ErrorWait d - State machine shall remain in ErrorReset when reset is asserted Covered in a able 4-23 State ErrorReset conformance In State ErrorWait Ref No est No Reference Summary Notes a.EXC.ERW.1 ErrorWait shall only be entered from ErrorReset b.EXC.ERW.2 he transmitter shall be disabled and the Receiver shall be enabled c.EXC.ERW.3 If a NULL is received then GotNULL shall be asserted d.EXC.ERW.4 he ErrorWait state shall be left unconditionally after the 12.8us timeout e.EXC.ERW.5 On receiver error or incorrect character received then move to state ErrorReset able 4-24 State ErrorWait conformance In State Ready Ref No est No Reference Summary Notes a.EXC.RDY.1 State Ready shall be entered only from the ErrorWait state b.EXC.RDY.2 he transmitter shall be disabled and the Receiver shall be enabled c.EXC.RDY.3 If a NULL is received then GO_NULL shall be

38 SpaceWire Link Interface RL Verification asserted d.EXC.RDY.4 Remain in state Ready until LinkEnabled is asserted then move to state Started e.EXC.RDY.5 On receiver error or incorrect character received then move to state ErrorReset. able 4-25 State Ready conformance In State Started Ref No est No Reference Summary Notes a - State Started shall be entered from state Ready when LinkEnabled is asserted b - When started is entered the 12.8us timer shall be started c.EXC.SA.1 In the started state the transmitter shall send NULLs. he receiver shall be enabled d.EXC.SA.2 If a NULL is received then GO_NULL shall be asserted e.EXC.SA.3 If GO_NULL is asserted then the state machine shall move to state Connecting f.EXC.SA.4 At least one NULL shall be requested for transmission before moving to state connecting g.EXC.SA.5 On receiver error the state machine shall move to state ErrorReset h.EXC.SA.6 On 12.8us timeout the state machine shall move to state ErrorReset. Covered in.exc.rdy.2 Covered in.exc.sa.6 able 4-26 State Started conformance In State Connecting Ref No est No Reference Summary Notes a - he connecting state shall be entered from the started state when GO_NULL is asserted b - he 12.8us timer shall be started when entering Connecting c.EXC.CON.1 he transmitter shall be enabled to send NULLs and FCs d.EXC.CON.2 If an FC is received then state machine shall move to state Run e.EXC.CON.3 On receiver error or incorrect character error the state machine shall move from state Connecting to state Run. Covered in.exc.sa.3 Covered in.exc.con.4

39 SpaceWire Link Interface RL Verification f.EXC.CON.4 On 12.8us timeout the state machine shall move to state ErrorReset. able 4-27 State Connecting conformance In State Run Ref No est No Reference Summary Notes a - Run state shall be entered from connecting when GotFC is asserted b.EXC.RUN.1 On LinkDisable or receiver error or credit error the state machine shall move to state ErrorReset Covered in.exc.con.2 able 4-28 State Run conformance Others Ref No est No Reference Summary Notes State machine transitions. Covered in.exc.* state test cases AutoStart definition Covered in.exc.rdy Link Initialisation Informative Normal Operation Informative Exception conditions Informative able 4-29 Exchange level others conformance Error Detection Ref No est No Reference Summary Notes General information n/a Disconnect Error Covered in.exc. states f.EXC.ERR.1 If disconnect error is detected in the Run state then the error shall be reported to the Network level Parity Error Covered in.cha c.EXC.ERR.2 If parity error is detected in the Run state then the error shall be reported to the Network level Escape Error Covered in.cha.10

40 SpaceWire Link Interface RL Verification c.EXC.ERR.3 If escape error is detected in the Run state then the error shall be reported to the Network level states Credit Error Covered in.exc.run c.EXC.ERR.4 If credit errr is detected in the Run state then the error shall be reported to the Network level Character sequence error. Character sequence error shall not be reported to the network level EXC.ERR.5 Empty packets may be discarded by the receiver. Covered in.exc. states Exchange of silence procedure. Covered in.exc. states Reporting errors to network levels Covered above. able 4-30 Exchange level error conformance imecodes Ref No est No Reference Summary Notes imecode counter shall be implemented in SpaceWire node or router he purpose of the SpaceWire link interface is to accept timecodes for transmission using ICK_IN and IME_IN and to output timecodes received using ICK_OU and IME_OU. External logic shall be used to implement the imecode counter. ICK_IN and ICK_OU usage is covered in.cha.14, 15, 16. able 4-31 imecodes conformance imings Ref No est No Reference Summary Notes D and S reset timing Covered in.sig EXC.IM.1 he disconnect timeout period of 850ns nominal shall be from 727ns to 1000ns a - he 6.4us nominal timeout period shall be from 5.82us to 7.22us b - he 12.8us nominal timeout period shall be from 11.64us to 14.33us. - Covered in.exc.ers.3 Covered in.exc.erw.4

41 SpaceWire Link Interface RL Verification able 4-32 Link timings conformance Packet Level Ref No est No Reference Summary Notes 9. - Packet format description n/a able 4-33 Packet level conformance Network Level Ref No est No Reference Summary Notes Spacewire node shall comprise one or more SpaceWire link interfaces. SpaceWire nodes shall accept a stream of packets ypes of packet level errors which occur. n/a NE.REC.1,.NE.REC.2 On Link error the tail of the transmitter packet shall be discarded and an EEP shall be added to the tail of the currently received packet Reception of packet with EEP. EEP is received as N- Char and passed on to packet level by receiver Invalid destination address n/a An EOP,EEP received immediately after an EOP, EEP represents an empty packet. he second EOP, EEP shall be discarded able 4-34 Network level conformance n/a Covered in.exc.err.5

42 SpaceWire Link Interface RL Verification 5. VERIFICAION ESBENCH AND MODIFICAIONS As outlined in section the verification testbench environment is based on the AAE SpaceWire link interface testbench modified by UoD. his section gives a brief overview of the testbench environment and indicates any modifications and additions which have been made to perform the verification. 5.1 ESBENCH OVERVIEW he testbench consists of a command parser which reads commands to be performed from an input command file. Each command in the file has an unique identifier which corresponds to a VHDL entity in the testbench. Each VHDL entity is responsible for the following Determining when a command is targeting the entity. Parsing the command string and performing the command, (e.g. a wait statement which causes the testbench to wait). Assuming control of the testbench until the command is completed, (i.e. no other commands are performed until the current command has completed). Acknowledging completion and status of the command to the command parser. Existing VHDL entity in the testbench include a common control unit, a SpaceWire link control unit and a SpaceWire link check unit. he common control unit provides testbench control functions wait, echo and system clock control. he SpaceWire link control unit controls the inputs of the SpaceWire link interface signals. LinkReset, LinkStart, AutoStart and LinkDisabled are controlled by this unit. he SpaceWire link control unit allows the testbench user to transmit N-Chars or timecodes to the link interface. N-Chars can be transmitted from a file, using a random number (LFSR) generator or a series of bytes read from the input command file. he SpaceWire link check unit checks the outputs of the SpaceWire link interface. he check unit is responsible for receiving N-Chars read from the link interface. N-Chars are checked against the expected character and an error is generated if the received data does not match the expected data. he link check unit can also check timecodes which are received by the link interface. 5.2 ADDIIONS AND MODIFICAIONS O HE EXISING ESBENCH he section outlines the changes which were made to the AAE testbench to perform the UoD SpaceWire link interface verification.

43 SpaceWire Link Interface RL Verification est script hierarchy he ability to reference one script file from another has been added to the AAE testbench. his can be performed by placing the command SOURCE cmdfile in a command script UoD_Ctrl he VHDL entity UoD_Ctrl was added to the testbench and given the identifier UoDCRL_ID in the file parser.pkg. he entity UoD_Ctrl is responsible for the following. Reporting test number and test description to the verification log output file. Controlling the UoD SpaceWire link interface configuration options such as the 10MHz clock enable rate and the transmitter data rate inputs. Performing status checks on status signals which are inputs to the entity and acting accordingly. Commands which can be performed by the UoD_Ctrl entity are listed in table Command ECHO string HAL ESNUMBER val ESGROUP val CONINUE_AFER_ERROR booleanval SYSCLK_DELAY val RDCLK_DELAY val XCLK_DELAY val SLOWCLK_DELAY val RDCLK booleanval XCLK booleanval SLOWCLK booleanval DDROU val PIPELINE val RXUSELACHES val SYNCRDCLK val Description Echo a string from the command file to the verification log output file. Cause the testbench to stop running for debugging purposes Set a UoD_Ctrl output signal which indicates the test case number which is currently being performed. Set a UoD_Ctrl output signal which indicates the test group which is currently being performed. Set a control flag which determines if the testbench continues after an error has occurred. In a go/no-go testbench this value should be set to FALSE. Initial value is FALSE. Set the UU-link system clock period. Initial value is 100 ns Set the UU-link receive buffer clock period. Initial value is 100 ns Set the UU-link receive transmit clock period. Initial value is 100 ns Set the UU-link receive 10/5MHz reference clock period. Initial value is 100 ns Enable the receiver buffer clock Enable the transmitter clock Enable the 10/5MHz reference clock Set the configuration signal CFG_DDROU Set the configuration signal CFG_PIPELINE Set the configuration signal CFG_RXUSELACHES Set the configuration signal CFG_SYNCRDCLK

44 SpaceWire Link Interface RL Verification CFGBICLK val DISCARD_EMPY_PK val MAXCREDI val RXBUFPROGVAL val SLOWRAE_SYSCLK val SLOWRAE_XCLK val Set the configuration signal CFG_BICLK Set the configuration signal CFG_DISCARD_EMPY_PK Set the configuration signal CFG_MAXCREDI Set the configuration signal RXBUF_PROGVAL Set the configuration signal CFG_SLOWRAE_SYSCLK Set the configuration signal CFG_SLOWRAE_XCLK X_RAE val Set data transmission rate of the transmitter. Initial value is 0 (see [AD3] section 5.2.1) FLUSH_X val SA_CHECK EVEN_COMPARE SYNCHRON Set the value of the UU-link input signal FLUSH_X. FLUSH_X function is defined in [AD3] section Perform a status check on a testbench signal. See section Perform an event comparison on two testbench signals. his command is added to test the event times on DOU and SOU at reset. See section Synchronises all entitys to the same testbench time Status Check he command SA_CHECK performs a status check on testbench signals. SA_CHECK can check the the following testbench signals. B-link status (State, GotNULL, etc.) UU-link status(state, GotNULL, etc.) B-link data receive, timecode receive status. UU-link data receive, timecode receive status. he command syntax is shown below. UoDCRL SA_CHECK <REPOR/NOREPOR> <signal name> <when> <EQUALS/NOEQUALS> <expected value> <timeout> <timestep>. Status check has a number of options which determine <when> the status value is checked. he options are listed below. Command NOW PREV_VALUE NEX_VALUE Description he value of <signal name> is checked against <expected value> when the command is run and the result is returned immediately. he status check can either be equals or not equals <expected value>. he previous value of <signal name> is checked against <expected result> and the result is returned immediately. he status check can either be equals or not equals <expected value>. he command NEX_VALUE checks if the <signal name> value equals <expected value> before <timeout> or if

45 SpaceWire Link Interface RL Verification <signal name> value does not equal <expected value> for the duration of <timeout> SA_CHECK is used extensively thoughout the verification command file as the main method of verification Event Compare he command EVEN_COMPARE is used to check the event times of reset on DOU and SOU.

46 SpaceWire Link Interface RL Verification 6. CODE ANALYSIS ES CASES Code analysis was performed for the test cases marked A in the verification matrix. est Case Description Code analysis.sig.4.cha.14.exc.1.exc.2.exc.fc.1.exc.fc.2.exc.fc.5 Receiver shall be tolerant off simultaneous transitions on DIN and SIN. ime interface shall comprise ICK_IN, ICK_OU, IME_IN and IME_OU. N-Chars are the only characters which are passed to the packet level. Only N-Chars shall be passed from host to the link interface for transmission. For each FC sent space shall be reserved for eight data characters. For each FC received the transmitter credit counter is incremented by eight. Receiver credit counter shall keep a credit count of the N-Chars it expects to receive. Simultaneous or near simultaneous transition on DIN and SIN causes a short pulse on RX_CLK or a missed clock pulse. his will simply cause a parity error as the bit-stream will be disrupted. Excerpt from top/spwrlink.vhd line IME_IN : in SD_LOGIC_VECOR(7 downto 0); ICK_IN : in SD_LOGIC; IME_OU : out SD_LOGIC_VECOR(7 downto 0); ICK_OU : out SD_LOGIC; Interface to packet level is through receiver FIFO. Receiver FIFO only accepts N-Chars. Interface to transmitter is through transmitter FIFO inputs which only accept N-Chars. he following excerpt from receive/rxcredit.vhd line shows the FCPR count is updated by eight when an FC is loaded into the transmitter shift registers. -- if moving to this state then increment fct pointer by eight if (SENDFC_SAE = wait8more) then FCPR <= FCPR+8; else FCPR <= FCPR; end if; he following excerpt from txencode.vhd lines shows the credit counter is incremented by eight when an FC is received. when "10" => -- got fct increment by eight CREDI_COUN <= CREDI_COUN+8; See file rxcredit_outstding_count.vhd.

47 SpaceWire Link Interface RL Verification.EXC.FC.6.EXC.ERW.1 At reset or disconnect the outstanding credit counter shall be zero. ErrorWait shall only be entered from state ErrorReset. See file rxcredit_outsding_count.vhd he command grep C 7 e NEX_INFCE_SAE <= ErrorWait initfsm/init_fsm.vhd was performed to determine when the next state is set to ErrorWait. he output is printed below. begin -- dependant on current state case INFCE_SAE is when ErrorReset => -- state transition to state ErrorWait after 6.4 us if (IMER_EVEN_EN = '1') then NEX_INFCE_SAE <= ErrorWait; else NEX_INFCE_SAE <= ErrorReset; end if; when ErrorWait => -- state transition dependant on errors and 12.8us timeout -- if error then move to state ErrorReset, error is RX_ERR or -- GO_FC or CHAR_SEQ_ERROR if (RX_ERR = '1' or GO_FC = '1' or CHAR_SEQ_ERROR = '1') then NEX_INFCE_SAE <= ErrorReset; elsif (IMER_EVEN_EN = '1') then NEX_INFCE_SAE <= Ready; else NEX_INFCE_SAE <= ErrorWait; end if; when Ready => -- state transition dependant on errors and LINK_ENABLED -- if error then move to state ErrorReset, error is RX_ERR or -- GO_FC or CHAR_SEQ_ERROR if (RX_ERR = '1' or GO_FC = '1' or CHAR_SEQ_ERROR = '1') then.exc.erw.2 he transmitter shall be disabled and the receiver enabled in state ErrorWait. the following excerpt from initfsm/init_fsm.vhd lines shows the output statements for the state ErrorWait. Only the receiver is enabled. when ErrorWait => RX_RS <= '0'; -- enable rx.exc.rdy.1 State ready shall only be entered from ErrorWait. he command grep C 10 e NEX_INFCE_SAE <= Ready init_fsm.vhd was performed to determine when the next state is set to Ready. he output is printed below.

48 SpaceWire Link Interface RL Verification when ErrorWait => -- state transition dependant on errors and 12.8us timeout -- if error then move to state ErrorReset, error is RX_ERR or -- GO_FC or CHAR_SEQ_ERROR if (RX_ERR = '1' or GO_FC = '1' or CHAR_SEQ_ERROR = '1') then NEX_INFCE_SAE <= ErrorReset; elsif (IMER_EVEN_EN = '1') then NEX_INFCE_SAE <= Ready; else NEX_INFCE_SAE <= ErrorWait; end if; when Ready => -- state transition dependant on errors and LINK_ENABLED -- if error then move to state ErrorReset, error is RX_ERR or -- GO_FC or CHAR_SEQ_ERROR if (RX_ERR = '1' or GO_FC = '1' or CHAR_SEQ_ERROR = '1') then NEX_INFCE_SAE <= ErrorReset; elsif (LINK_ENABLED = '1') then NEX_INFCE_SAE <= Started; else NEX_INFCE_SAE <= Ready; end if; when Started => -- state transition dependant on errors and FIRS_NULL -- if error then move to state ErrorReset, error is RX_ERR or -- GO_FC or CHAR_SEQ_ERROR if (RX_ERR = '1' or GO_FC = '1' or CHAR_SEQ_ERROR = '1' or IMER_EVEN_EN = '1') then.exc.rdy.2.ofn.4 he transmitter shall be disabled and the receiver enabled in state Ready. External 10MHz function. he following excerpt from initfsm/init_fsm.vhd lines shows the output statements for the state ErrorWait. Only the receiver is enabled. when Ready => RX_RS <= '0'; -- enable rx he input signals SLOW_CE_SEL and SLOW_CE determine if the external 10MHz function is used. he signal SLOW_CE_SEL acts as a multiplexer as shown in the code excerpt from top/spwrlink.vhd lines assign SLOW_EN which can be generated internally or externally -- receiver disconnection detection and state machine timeout SLOW_EN <= CFG_SLOW_CE when (CFG_SLOW_CE_SEL = '1') else SLOW_EN_INERNAL;

49 SpaceWire Link Interface RL Verification 7. NON AUO-CHECK ES CASES his section documents the test cases which are performed by the VHDL testbench but cannot be verified automatically. he following command was run on the testbench script file to determine the non auto-check tests grep e ES NUMBER e AUO-CHECK = ** NO ** < uodrun.cmd he command output is shown below with non auto-check tests shown in bold type. Other test cases were removed for clarity.. UODCRL ECHO == ES NUMBER =.EXC.FC.7 UODCRL ECHO == ES NUMBER =.EXC.FC.8 UODCRL ECHO == AUO-CHECK = ** NO ** UODCRL ECHO == ES NUMBER =.EXC.ERS.1.. UODCRL ECHO == ES NUMBER =.OFN.2 UODCRL ECHO == ES NUMBER =.OFN.3 UODCRL ECHO == AUO-CHECK = ** NO ** Figure 7-1 Non Auto-Check test cases 7.1.EXC.FC.8 est case.exc.fc.8 states the priority for characters to be transmitted over the link. For this verification the contents of the report file are used to verify the requirement. he report file is shown below. he requirement was verified as stated in the ES RESULS = section. # ns: ========== ======== ========== ======== ========== ======== # ns: ========== ======== ========== ======== ========== ======== # ns: == ES NUMBER =.EXC.FC.8 # ns: ========== ======== ========== ======== ========== ======== # ns: == ES DESCRIPION = RANSMIER CHARACER PRIORIY # ns: == he order of priority for transmission shall be # ns: == 1) imecode # ns: == 2) FC # ns: == 3) N-Char # ns: == 4) NULL # ns: == # ns: == ES SRAEGY = # ns: == Data ransfer is performed. with intermittent timecodes # ns: == and FCs transmitted as space is available. NULLS transmitted # ns: == when no data, FC or timecode. # ns: == # ns: == AUO-CHECK = ** NO ** # ns: == # ns: == ES RESULS = # ns: ========== ======== ========== ======== ========== ======== # ns: == # ns: == B-link RESE, UU-link RESE # ns: == Wait for both ends to start-up # ns: == UU-link state = Run, B-link state = Run # ns: == # ns: == UU-link transmits 16 data characters # ns: == CHECK FCs are transmitted in data bit stream

50 SpaceWire Link Interface RL Verification # ns: == CHECK UU-link transmits timecode in data bit stream # ns: == B-link receives 4 data characters # ns: == CHECK NULLS transmitted when no data # ns: == B-link receives remaining 12 data characters # ns: == # ns: ========== ======== ========== ======== ========== ======== # ns: ========== ======== ========== ======== ========== ======== Figure 7-2.EXC.FC OFN.3 est case.ofn.3 tests the reset requirement for the output signals as specified in [AD3]. he following waveform shows the reset applied to the SpaceWire link interface core.

51 SpaceWire Link Interface RL Verification Figure 7-3.OFN.3 non auto-check test case

The SpaceWire CODEC International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands

The SpaceWire CODEC International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands The SpaceWire CODEC International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Chris McClements (1), Steve Parkes (1), Agustin Leon (2) (1) University of Dundee, Applied

More information

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 9, Issue 2 (November 2013), PP. 36-40 Implimentation of SpaceWire Standard in SpaceWire

More information

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003)

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Steve Parkes (1), Josep Rosello (2) (1) University of Dundee, Applied Computing, Dundee,

More information

Reducing SpaceWire Time-code Jitter

Reducing SpaceWire Time-code Jitter Reducing SpaceWire Time-code Jitter Barry M Cook 4Links Limited The Mansion, Bletchley Park, Milton Keynes, MK3 6ZP, UK Email: barry@4links.co.uk INTRODUCTION Standards ISO/IEC 14575[1] and IEEE 1355[2]

More information

SpaceWire Router Data Sheet

SpaceWire Router Data Sheet Ref.: UoD_SpW-0X_ Issue:.0 Date: 8 th August 00 SpaceWire Router Data Sheet Ref: UoD_SpW-0X_ Document Revision: Issue.0 Date: 8 th August 00 Prepared by - Chris McClements, University of Dundee Steve Parkes,

More information

SpaceWire 101. Webex Seminar. February 15th, 2006

SpaceWire 101. Webex Seminar. February 15th, 2006 SpaceWire 101 Webex Seminar February 15th, 2006 www.aeroflex.com/spacewire SpaceWire 101 What is SpaceWire Protocol, Links, Basic Communication Architecture Physical Layer Interface and Network Components

More information

St. Petersburg State University of Aerospace Instrumentation Institute of High-Performance Computer and Network Technologies

St. Petersburg State University of Aerospace Instrumentation Institute of High-Performance Computer and Network Technologies St. Petersburg State University of Aerospace Instrumentation Institute of High-Performance Computer and Network Technologies Extended Control Codes for Distributed Interrupts in SpaceWire Networks (draft

More information

1 CONTROL CHARACTERS AND CONTROL CODES

1 CONTROL CHARACTERS AND CONTROL CODES 1 CONTROL CHARACTERS AND CONTROL CODES a. A control character shall be formed from a parity bit, a data-control flag and a two bit control code with the data-control flag set to one to indicate that the

More information

SpaceWire IP for Actel Radiation Tolerant FPGAs

SpaceWire IP for Actel Radiation Tolerant FPGAs SpaceWire IP for Actel Radiation Tolerant FPGAs Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Zaf Mahmood Actel UK 1 Actel RTAX-S Devices 2 Radiation tolerant FPGAs Non-volatile

More information

Space engineering. SpaceWire Links, nodes, routers and networks. ECSS-E-ST-50-12C 31 July 2008

Space engineering. SpaceWire Links, nodes, routers and networks. ECSS-E-ST-50-12C 31 July 2008 ECSS-E-ST-50-12C Space engineering SpaceWire Links, nodes, routers and networks ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of

More information

SpaceWire. Design of the SystemC model of the SpaceWire-b CODEC. Dr. Nikos Mouratidis

SpaceWire. Design of the SystemC model of the SpaceWire-b CODEC. Dr. Nikos Mouratidis SpaceWire Design of the SystemC model of the SpaceWire-b CODEC Dr. Nikos Mouratidis Qualtek Sprl. 36 Avenue Gabriel Emile Lebon B-1160, Brussels, Belgium 19/09/2011 Activity objectives: High-level modeling

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

GAISLER. SpaceWire CODEC with RMAP GRSPW / GRSPW-FT CompanionCore Data Sheet

GAISLER. SpaceWire CODEC with RMAP GRSPW / GRSPW-FT CompanionCore Data Sheet SpaceWire CODEC with RMAP GRSPW / GRSPW-FT CompanionCore Data Sheet Features Full implementation of SpaceWire standard ECSS-E-ST-50-12C Protocol ID extension ECSS-E-ST-50-11C RMAP protocol ECSS-E-ST-50-11C

More information

6 Remote memory access protocol (normative)

6 Remote memory access protocol (normative) 6 Remote memory access protocol (normative) 6.1 General 6.1.1 Purpose The remote memory access protocol (RMAP) has been designed to support a wide range of SpaceWire applications. Its primary purpose however

More information

Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks

Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks Nikolay Sinyov, Valentin Olenev, Irina Lavrovskaya, Ilya Korobkov {nikolay.sinyov, valentin.olenev,

More information

Space engineering. SpaceWire Protocols

Space engineering. SpaceWire Protocols Space engineering SpaceWire Protocols This ECSS is a draft standard circulated for xxxxxxxxxx. It is therefore subject to change without notice and may not be referred to as an ECSS Standard until published

More information

SpaceNet - SpaceWire-T. Initial Protocol Definition

SpaceNet - SpaceWire-T. Initial Protocol Definition SpaceNet - SpaceWire-T Revision: Draft A Issue 3.1 Date: 24th August 2009 ESA Contract Number 220774-07-NL/LvH Ref: SpW-RT WP3-200.1 Space Technology Centre School of Computing University of Dundee Dundee,

More information

SPACEFIBRE. Session: SpaceWire Standardisation. Long Paper.

SPACEFIBRE. Session: SpaceWire Standardisation. Long Paper. SPACEFIBRE Session: SpaceWire Standardisation Long Paper Steve Parkes 1, Chris McClements 1, Martin Suess 2 1 School of Computing, University of Dundee, Dundee, DD1 4HN, Scotland, U.K. E-mail: sparkes@computing.dundee.ac.uk

More information

SpaceFibre Port IP Core

SpaceFibre Port IP Core The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS IP Core TEC-ED & TEC-SW Final Presentation Days 6 7. December

More information

DRAFT Date: May ECSS-E Space Engineering. SpaceWire: SERIAL POINT-TO-POINT LINKS DRAFT

DRAFT Date: May ECSS-E Space Engineering. SpaceWire: SERIAL POINT-TO-POINT LINKS DRAFT ECSS-E-50-12 Space Engineering SpaceWire: SERIAL POINT-TO-POINT LINKS DRAFT DOCUMENT NUMBER ISSUE UoD-DICE-TN-9201 ISSUE D DATE May 2000 ESA CONTRACT NO. 12693/97/NL/FM ESA TECHNICAL MANAGER AUTHOR J.

More information

The Operation and Uses of the SpaceWire Time-Code International SpaceWire Seminar 2003

The Operation and Uses of the SpaceWire Time-Code International SpaceWire Seminar 2003 The Operation and Uses of the SpaceWire Time-Code International SpaceWire Seminar 2003 Steve Parkes Space Systems Research Group University of Dundee Need for Time-Codes! Needed for control applications

More information

SpaceFibre Specification Draft F3

SpaceFibre Specification Draft F3 SpaceFibre Specification Draft F3 LIKELY TO CHANGE! Authors: Steve Parkes Albert Ferrer Alberto Gonzalez Chris McClements Copyright 2013 University of Dundee This page is blank intentionally. 2 Change

More information

SpaceWire RMAP Protocol

SpaceWire RMAP Protocol SpaceWire RMAP Protocol SpaceWire Working Group Meeting Steve Parkes University of Dundee RMAP Review Final review before ECSS Changes since last meeting/draft C Go through book section by section Review

More information

SpaceWire RMAP Protocol

SpaceWire RMAP Protocol SpaceWire RMAP Protocol SpaceWire Working Group Meeting Steve Parkes University of Dundee Aims of RMAP Remote Memory Access Protocol Provide a means of Writing to Reading from Registers or memory on a

More information

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh XAPP7 (v.2) July 2, 25 Application te: Virtex-4 Family Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh Summary This application note describes a dynamic phase alignment (DPA) application

More information

Aeroflex Colorado Springs Application Note

Aeroflex Colorado Springs Application Note Aeroflex Colorado Springs Application Note AN-SPW-005-001 Configuration of the UT200SpW4RTR Table 1: Cross Reference of Applicable Products Product Name: Manufacturer Part SMD # Device Internal PIC Number

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers SpaceWire-RT SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers 1 Overview of SpaceWire-RT Project Aims The SpaceWire-RT research programme aims to: Conceive

More information

Proposed Technical Solution for Half Duplex SpW

Proposed Technical Solution for Half Duplex SpW SpaceWire Evolutions Proposed Technical Solution for Half Duplex SpW 17 th SpaceWire Working Group, 14 th December 2011 Noordwijk, Netherlands ESA Contract Number 4000104023, SpaceWire Evolutions Slide

More information

Section III. Transport and Communication

Section III. Transport and Communication Section III. Transport and Communication This section describes communication and transport peripherals provided for SOPC Builder systems. This section includes the following chapters: Chapter 16, SPI

More information

D3.2 SpaceWire-RT Updated Specification

D3.2 SpaceWire-RT Updated Specification SPACEWIRE-RT Grant Agreement: 263148 D3.2 SpaceWire-RT Updated Specification Dissemination level: Public (PU) D3.2 SpaceWire-RT Updated Specification Lead Beneficiary: University of Dundee Author(s): Steve

More information

spwr_base & spwr_chan

spwr_base & spwr_chan DYNAMIC ENGINEERING 150 DuBois St. Suite C, Santa Cruz, CA 95060 831-457-8891 Fax 831-457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 spwr_base & spwr_chan Linux Driver Documentation Manual Revision

More information

This amendment A1 modifies the European Telecommunication Standard ETS (1994)

This amendment A1 modifies the European Telecommunication Standard ETS (1994) AMENDMEN ES 300 233 A1 March 1995 Source: ESI C-M Reference: RE/M-03046 ICS: 33.080 Key words: ISDN, primary rate access digital section, testing his amendment A1 modifies the European elecommunication

More information

Fig.12.5 Serial Data Line during Serial Communication

Fig.12.5 Serial Data Line during Serial Communication Lecture-66 Asynchronous Serial Data Communication A serial data signal is divided into time intervals called bit times as shown in fig.2.5. During each bit time interval (T B ), the signal is either a

More information

CCSDS Unsegmented Code Transfer Protocol (CUCTP)

CCSDS Unsegmented Code Transfer Protocol (CUCTP) CCSDS Unsegmented Code Transfer Protocol (CUCTP) Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

SpaceWire PC Card Development. Patria New Technologies Oy ESA / ESTEC

SpaceWire PC Card Development. Patria New Technologies Oy ESA / ESTEC SpaceWire PC Card Development Patria New Technologies Oy ESA / ESTEC SpaceWire PC Card Standard type II PC Card (Cardbus( I/F) with two SpaceWire links Access to SpaceWire networks by using a standard

More information

SpaceWire Remote Memory Access Protocol

SpaceWire Remote Memory Access Protocol SpaceWire Remote Memory Access Protocol Steve Parkes and Chris McClements University of Dundee, Applied Computing, Dundee, DD1 4HN, Scotland, UK. sparkes@computing.dundee.ac.uk,, cmclements@computing.dundee.ac.uk.

More information

SpaceWire Router ASIC

SpaceWire Router ASIC SpaceWire Router ASIC Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Gerald Kempf, Christian Toegel Austrian Aerospace Stephan Fisher Astrium GmbH Pierre Fabry, Agustin Leon

More information

32 Channel HDLC Core V1.2. Applications. LogiCORE Facts. Features. General Description. X.25 Frame Relay B-channel and D-channel

32 Channel HDLC Core V1.2. Applications. LogiCORE Facts. Features. General Description. X.25 Frame Relay B-channel and D-channel May 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: logicore@xilinx.com URL: www.xilinx.com/ipcenter Support: www.support.xilinx.com Features

More information

ADPCM-LCO Voice Compression Logic Core

ADPCM-LCO Voice Compression Logic Core ADPCM-LCO Voice Compression Logic Core Functional Description The ADPCM-LCO logic core [Adaptive Differential Pulse Code Modulation-Low Channel count Optimized] is a peripheral for digital voice compression/de-compression

More information

Universal Asynchronous Receiver/Transmitter Core

Universal Asynchronous Receiver/Transmitter Core Datasheet iniuart Universal Asynchronous Receiver/Transmitter Core Revision 2.0 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com

More information

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis Application Note: All Virtex and Spartan FPGA Families XAPP551 (v2.) July 3, 21 Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis Summary Many digital communication

More information

Single Channel HDLC Core V1.3. LogiCORE Facts. Features. General Description. Applications

Single Channel HDLC Core V1.3. LogiCORE Facts. Features. General Description. Applications Sept 8, 2000 Product Specification R Powered by Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: logicore@xilinx.com URL: www.xilinx.com/ipcenter Support:

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

Laboratory Finite State Machines and Serial Communication

Laboratory Finite State Machines and Serial Communication Laboratory 11 11. Finite State Machines and Serial Communication 11.1. Objectives Study, design, implement and test Finite State Machines Serial Communication Familiarize the students with Xilinx ISE WebPack

More information

USB Compliance Checklist

USB Compliance Checklist USB Compliance Checklist Peripheral Silicon (excluding hubs) July 19, 1999 USB Device Product Information Date July 19, 1999 Vendor Name Motorola Vendor Street Address Vendor City, State, Zip Vendor Phone

More information

ADPCM-HCO Voice Compression Logic Core

ADPCM-HCO Voice Compression Logic Core ADPCM-HCO Voice Compression Logic Core Functional Description The ADPCM-HCO logic core [Adaptive Differential Pulse Code Modulation-High Channel count Optimized] is a peripheral for digital voice compression

More information

SCOC SPACEWIRE IP CORE HARDWARE USER MANUAL. Name and Function Date Signature

SCOC SPACEWIRE IP CORE HARDWARE USER MANUAL. Name and Function Date Signature Page : i ESA 13345/#3 : Building Block for System On a chip SPACEWIRE IP CORE HARDWARE USER MANUAL Name and Function Date Signature Prepared by Tam Le Ngoc Verified by Marc Lefebvre Approved by Authorised

More information

SpaceNet - SpaceWire-RT. Initial Protocol Definition

SpaceNet - SpaceWire-RT. Initial Protocol Definition SpaceNet - SpaceWire-RT Initial Revision: Draft A Issue 1.1 Date: 12 th May 2008 ESA Contract Number 220774-07-NL/LvH Ref: SpW-RT WP3-200.1 Space Technology Centre School of Computing University of Dundee

More information

ECEN 468 Advanced Logic Design Department of Electrical and Computer Engineering Texas A&M University. Lab 2

ECEN 468 Advanced Logic Design Department of Electrical and Computer Engineering Texas A&M University. Lab 2 ECEN 468 Advanced Logic Design Department of Electrical and Computer Engineering Texas A&M University (Lab exercise created by Jaeyeon Won and Jiang Hu) Lab 2 Design of UART Transmitter Purpose: In this

More information

UNIT - II PERIPHERAL INTERFACING WITH 8085

UNIT - II PERIPHERAL INTERFACING WITH 8085 UNIT - II PERIPHERAL INTERFACING WITH 8085 Peripheral Interfacing is considered to be a main part of Microprocessor, as it is the only way to interact with the external world. The interfacing happens with

More information

a16450 Features General Description Universal Asynchronous Receiver/Transmitter

a16450 Features General Description Universal Asynchronous Receiver/Transmitter a16450 Universal Asynchronous Receiver/Transmitter September 1996, ver. 1 Data Sheet Features a16450 MegaCore function implementing a universal asynchronous receiver/transmitter (UART) Optimized for FLEX

More information

Design of a High-Level Data Link Controller

Design of a High-Level Data Link Controller ECE 551 Course Project Design of a High-Level Data Link Controller Interim Report - Due Wednesday, November 28, 2001, 4:00 PM Final Report - Due Wednesday, December 12, 2001, 4:00 PM Project Teams and

More information

STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER

STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER 1 MS. PARUL BAHUGUNA CD 1 M.E. [VLSI & Embedded System Design] Student, Gujarat Technological University PG School, Ahmedabad, Gujarat.

More information

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to:

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to: SPART A Special Purpose Asynchronous Receiver/Transmitter Introduction In this miniproject you are to implement a Special Purpose Asynchronous Receiver/Transmitter (SPART). The SPART can be integrated

More information

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved.

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Serial Communication Prof. James L. Frankel Harvard University Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Overview of the Serial Protocol Simple protocol for communicating

More information

CoE3DJ4 Digital Systems Design. Chapter 5: Serial Port Operation

CoE3DJ4 Digital Systems Design. Chapter 5: Serial Port Operation CoE3DJ4 Digital Systems Design Chapter 5: Serial Port Operation Serial port 8051 includes an on-chip serial port Hardware access to the port is through TXD and RXD (Port 3 bits 1 and 0) Serial port is

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 128 Serial Communication Simplex Half-Duplex Duplex 129 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

kcserial User Guide version 2006.FEB.20

kcserial User Guide version 2006.FEB.20 TABLE OF CONTENTS 1 Preface...4 1.1 Purpose...4 1.2 Definitions and Acronyms...4 1.3 Feedback...5 2 Overview...6 2.1 Modes of Operation...6 2.2 System Configuration...6 2.2.1 Hardware...6 2.2.2 Software...7

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Application Note Rev. 0, 4/2004 Software Drivers for Tango3 RF Transmitter and Romeo2 RF Receiver ICs By John Logan 8/16-Bit Division East Kilbride, Scotland Introduction This application note describes

More information

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI)

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI) 1 Introduction The Fibre Channel (FC) is logically a bi-directional point-to-point serial data channel, structured for high performance information transport. Physically, Fibre Channel is an interconnection

More information

RESET CLK RDn WRn CS0 CS1 CS2n DIN[7:0] CTSn DSRn DCDn RXDATA Rin A[2:0] DO[7:0] TxDATA DDIS RTSn DTRn OUT1n OUT2n BAUDOUTn TXRDYn RXRDYn INTRPT

RESET CLK RDn WRn CS0 CS1 CS2n DIN[7:0] CTSn DSRn DCDn RXDATA Rin A[2:0] DO[7:0] TxDATA DDIS RTSn DTRn OUT1n OUT2n BAUDOUTn TXRDYn RXRDYn INTRPT MOXSYN C16550S Universal Asynchronous Receiver/Transmitter with FIFOs Function Description The C16550S programmable asynchronous communications interface (UART) core provides data formatting and control

More information

UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI

UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI Session: Poster Session Short Paper ir. G.J. Vollmuller, ing. A. Pleijsier National Aerospace Laboratory NLR Anthony Fokkerweg 2, 1059CM, Amsterdam

More information

DYNAMIC ENGINEERING 150 DuBois St., Suite C Santa Cruz, CA (831) Fax (831) Est.

DYNAMIC ENGINEERING 150 DuBois St., Suite C Santa Cruz, CA (831) Fax (831) Est. DYNAMIC ENGINEERING 150 DuBois St., Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual ccpmc-hotlink-ap1 Conduction-Cooled Single-Channel

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

Configuration of Synchronous Protocols

Configuration of Synchronous Protocols encor! enetworks TM Version A, September 2010 2013 Encore Networks, Inc. All rights reserved. Configuration of Synchronous Protocols This chapter discusses synchronous protocols that you can configure

More information

CDN067 DEVICENET SPECIFICATIONS

CDN067 DEVICENET SPECIFICATIONS CDN067 DEVICENET SPECIFICATIONS Revision History Revision Description Date 1.0 Initial Release Upgrade to DNet Rev. 2.0 07/20/98 Added support for status byte and status clear in poll Disallowed changing

More information

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n October 2012 Reference Design RD1138 Introduction The Universal Asynchronous Receiver/Transmitter (UART) performs serial-to-parallel conversion on data characters received from a peripheral device or a

More information

TOE10G-IP Core. Core Facts

TOE10G-IP Core. Core Facts May 18, 2016 Product Specification Rev1.0 Design Gateway Co.,Ltd 54 BB Building 14 th Fl., Room No.1402 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone: (+66) 02-261-2277 Fax: (+66)

More information

This set of Microprocessor Multiple Choice Questions & Answers (MCQs) focuses on PIO 8255 (Programmable Input Output Port).

This set of Microprocessor Multiple Choice Questions & Answers (MCQs) focuses on PIO 8255 (Programmable Input Output Port). This set of Microprocessor Multiple Choice Questions & Answers (MCQs) focuses on PIO 8255 (Programmable Input Output Port). 1. Programmable peripheral input-output port is other name for a) serial input-output

More information

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Compiler. PCI Express Compiler Version Issues January 2007, Compiler Version 2.0.0 Errata Sheet This document addresses known errata and documentation issues for the PCI Express Compiler version 2.0.0. Errata are functional defects or errors, which

More information

Asynchronous Transmission. Asynchronous Serial Communications & UARTS

Asynchronous Transmission. Asynchronous Serial Communications & UARTS Asynchronous Transmission Asynchronous Serial Communications & UARTS 55:036 Embedded Systems and Systems Software asynchronous: transmitter and receiver do not share a common clock or explicitly coordinate

More information

SMCSlite and DS-Link Macrocell Development

SMCSlite and DS-Link Macrocell Development and DS-Link Macrocell Development Microelectronics Final Presentation Days, ESTEC, 6-7 March 2001 Anja Christen Tim Pike Paul Rastetter Astrium GmbH, D-81663 Ottobrunn Tel. ++49-89-60720267, Fax ++49-89-60721302

More information

CHAPTER 5 REGISTER DESCRIPTIONS

CHAPTER 5 REGISTER DESCRIPTIONS USER S MANUAL 5 CHAPTER 5 REGISTER DESCRIPTIONS 5. INTRODUCTION This section describes the functions of the various bits in the registers of the SCC (Tables 5- and 5-2). Reserved bits are not used in this

More information

Time synchronization in SpaceWire networks

Time synchronization in SpaceWire networks Time synchronization in SpaceWire networks Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

Computer Peripherals

Computer Peripherals Computer Peripherals School of Computer Engineering Nanyang Technological University Singapore These notes are part of a 3rd year undergraduate course called "Computer Peripherals", taught at Nanyang Technological

More information

CHAPTER 4 DATA COMMUNICATION MODES

CHAPTER 4 DATA COMMUNICATION MODES USER S MANUAL CHAPTER DATA COMMUNICATION MODES. INTRODUCTION The SCC provides two independent, full-duplex channels programmable for use in any common asynchronous or synchronous data communication protocol.

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

UDP1G-IP Core. Core Facts

UDP1G-IP Core. Core Facts August 10, 2018 Product Specification Rev1.2 Design Gateway Co.,Ltd 54 BB Building 14 th Fl., Room No.1402 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone: 66(0)2-261-2277 Fax: 66(0)2-261-2290

More information

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 1 of 14 JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 2 of 14 CONTENTS INTRODUCTION... 3 SCOPE... 3 HARDWARE...

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

PICTURE Camera Interface Functional Specification

PICTURE Camera Interface Functional Specification Rev ECO Date Change Summary Author 01 50-046 30 JAN 2006 Initial Draft D. Gordon 02 50-053 19 MAY 2006 Changed to multiplexed DMA, added testmode and FPGA D. Gordon version readback, other minor corrections,

More information

ATM-DB Firmware Specification E. Hazen Updated January 4, 2007

ATM-DB Firmware Specification E. Hazen Updated January 4, 2007 ATM-DB Firmware Specification E. Hazen Updated January 4, 2007 This document describes the firmware operation of the Ethernet Daughterboard for the ATM for Super- K (ATM-DB). The daughterboard is controlled

More information

MCS-51 Serial Port A T 8 9 C 5 2 1

MCS-51 Serial Port A T 8 9 C 5 2 1 MCS-51 Serial Port AT89C52 1 Introduction to Serial Communications Serial vs. Parallel transfer of data Simplex, Duplex and half-duplex modes Synchronous, Asynchronous UART Universal Asynchronous Receiver/Transmitter.

More information

Packet Telemetry Encoder (PTME) VHDL Model

Packet Telemetry Encoder (PTME) VHDL Model Packet Telemetry Encoder (PTME) VHDL Model Data Sheet Prepared by Sandi Habinc PTME-001-01 Version 0.7 rev 2 September 2005 Först Långgatan 19 tel +46 31 7758650 413 27Göteborg fax +46 31 421407 Sweden

More information

University of New Hampshire InterOperability Laboratory Ethernet in the First Mile Consortium

University of New Hampshire InterOperability Laboratory Ethernet in the First Mile Consortium University of New Hampshire InterOperability Laboratory As of July 26, 2004 the Ethernet in the First Mile Clause 57 OAM Conformance Test Suite version 0.4 has been superseded by the release of the Clause

More information

Using the FADC250 Module (V1C - 5/5/14)

Using the FADC250 Module (V1C - 5/5/14) Using the FADC250 Module (V1C - 5/5/14) 1.1 Controlling the Module Communication with the module is by standard VME bus protocols. All registers and memory locations are defined to be 4-byte entities.

More information

SpaceFibre Flight Software Workshop 2015

SpaceFibre Flight Software Workshop 2015 SpaceFibre Flight Software Workshop 2015 Steve Parkes, University of Dundee Albert Ferrer Florit, Alberto Gonzalez Villafranca, STAR-Dundee Ltd. David McLaren, Chris McClements, University of Dundee Contents

More information

Addressing scheme to address a specific devices on a multi device bus Enable unaddressed devices to automatically ignore all frames

Addressing scheme to address a specific devices on a multi device bus Enable unaddressed devices to automatically ignore all frames 23. USART 23.1 Features Full-duplex operation Asynchronous or synchronous operation Synchronous clock rates up to 1/2 of the device clock frequency Asynchronous clock rates up to 1/8 of the device clock

More information

Viterbi Decoder Implementation Guide. Contents. V 1.0.0, Jan. 16, Source Files Description 2

Viterbi Decoder Implementation Guide. Contents. V 1.0.0, Jan. 16, Source Files Description 2 V 1.0.0, Jan. 16, 2012 Contents 1 Source Files Description 2 2 Implementation Description 3 2.1 Branch Distance Calculation (branch_distance.vhd)...................... 4 2.2 Add, Compare and Select (acs.vhd)..............................

More information

SpaceWire DC-Balanced Character Encoding for SpaceWire

SpaceWire DC-Balanced Character Encoding for SpaceWire DC-Balanced Character Encoding for Cliff Kimmery Introduction Many applications migrating to from alternate communications protocols (e.g. MIL-STD-1553) provide much greater tolerance for long-term and

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

##)44 6 BIS $!4! #/-02%33)/. 02/#%$52%3 &/2 $!4! #)2#5)4 4%2-).!4).' %15)0-%.4 $#% 53).' %22/2 #/22%#4)/. 02/#%$52%3

##)44 6 BIS $!4! #/-02%33)/. 02/#%$52%3 &/2 $!4! #)2#5)4 4%2-).!4).' %15)0-%.4 $#% 53).' %22/2 #/22%#4)/. 02/#%$52%3 INTERNATIONAL TELECOMMUNICATION UNION ##)44 6 BIS THE INTERNATIONAL TELEGRAPH AND TELEPHONE CONSULTATIVE COMMITTEE $!4! #/--5.)#!4)/. /6%2 4(% 4%,%0(/.%.%47/2+ $!4! #/-02%33)/. 02/#%$52%3 &/2 $!4! #)2#5)4

More information

TOE1G-IP Multisession Reference design manual Rev May-17

TOE1G-IP Multisession Reference design manual Rev May-17 TOE1G-IP Multisession Reference design manual Rev1.0 19-May-17 1. Overview It is recommended to read dg_toe1gip_refdesign_xilinx_en.pdf document which is half duplex demo of TOE1G-IP firstly. It will help

More information

SpaceWire Router - Status

SpaceWire Router - Status Router - Status Working Group Meeting Dr. Stephan Fischer Dr. Steve Parkes Gerald Kempf Pierre Fabry EADS Astrium GmbH University of Dundee Austrian Aerospace GmbH ESA ESA, Noordwijk 15. Sep. 004 Outline

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

Turbo Encoder Co-processor Reference Design

Turbo Encoder Co-processor Reference Design Turbo Encoder Co-processor Reference Design AN-317-1.2 Application Note Introduction The turbo encoder co-processor reference design is for implemention in an Stratix DSP development board that is connected

More information

Quality of Service (QoS)

Quality of Service (QoS) Quality of Service (QoS) The Internet was originally designed for best-effort service without guarantee of predictable performance. Best-effort service is often sufficient for a traffic that is not sensitive

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information