SpaceFibre Port IP Core

Size: px
Start display at page:

Download "SpaceFibre Port IP Core"

Transcription

1 The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS IP Core TEC-ED & TEC-SW Final Presentation Days 6 7. December 2016 Technical Officer: Dirk Thurnes (TEC-EDP) Presenter: Felix Siegle Commercial in Confidence

2 Cobham Gaisler AB Official name since 10 December 2014 Founded in 2001 Located in Gothenburg, Sweden Fully owned subsidiary of Management team with 60 years combined experience in the space sector: Sandi Habinc: General Manager Per Danielsson: Senior Advisor Jan Andersson: Director of Engineering 24 employees with expertise within microelectronics and software design Complete design facilities in-house for ASIC and FPGA development 63.8 MSEK or 8.8 M$ turnover

3 Programme of work The activity was planned as a 14 months activity with the following milestones: Task 1: Preliminary SpaceFibre delivery (+2 months) Task 2: Final SpaceFibre delivery (+12 months) Due to preliminary internal work, Cobham Gaisler was able to already provide a fully verified prototype implementation as a result of Task 1, which at that time was implemented according to draft version H1 of the specification. During Task 2, the implementation and verification environment was continuously updated to reflect the updates of the specification, with the final delivery being in sync with the latest draft version H7 v3. 2

4 Deliverables Datasheet and User s Manual (D1 and D2) Verification and Validation Report (D3) Final Report (FR) VHDL Sources & Testbench (MOD1) including: Source files Testbench Macro files for ModelSim/QuestaSim and Riviera-PRO Simulation Log File Code Coverage Reports Synthesis and Place & Route Estimates 3

5 Introduction: SpaceFibre A powerful enhancement of SpaceWire EOP LA 8-bit interface SpaceWire Codec LVDS FCT LVDS SpaceWire Codec 8-bit interface Data is interrupted (EEP added) 32-bit interface Virtual Channel Retry Buffer Virtual Channel 32-bit interface 32-bit interface 32-bit interface Virtual Channel Virtual Channel Medium Access Controller SerDes FCT VC1 Frame VC3 ACK Frame VC1 NACK Frames are re-transmitted! SerDes Virtual Channel Virtual Channel 32-bit interface 32-bit interface 32-bit interface Virtual Channel Virtual Channel 32-bit interface 4

6 Introduction Implemented, verified and validated according to latest specification H7 v3. Single-lane implementation optimized for speed. Highly configurable, yet easy to use IP core. Integration into existing SpaceWire designs, e.g. routing switches, is straight-forward. 5

7 Configurability Virtual Channels The number of virtual channels The depth of the receive buffers. The depth of the transmit buffers. Width of the data bandwidth credit counter. Bandwidth idle time limit in clock cycles. Broadcast Channel Width of the broadcast bandwidth credit counter. Minimum bandwidth credit threshold limit. Error Recovery Depth of the data error recovery buffer. Depth of the FCT error recovery buffer. Depth of the broadcast error recovery buffer. SerDes Interface With internal 8B/10B coding: 20-bit or 40-bit interface, without internal 8B/10B coding: 18-bit or 36-bit interface. Enable/disable internal 8B/10B coding. Use a separate transmission clock: This feature is mandatory if the 18-/20-bit SerDes interface is used and optional in case of the 36-/40-bit interface. Technology Use asynchronous or synchronous reset. Generic memory description, which infers correct memories on RTG4 and Virtex-5. Can easily be replaced by technologydependent memories. 6

8 Verification (1/4) Testbench setup comprises a SpaceFibre port with four virtual channels. Verified according to guidelines of the European Space Agency (ESA). 7

9 Verification (2/4) The testbench executes 45 tests, including tests covering all aspects of: the lane layer the virtual channel data communication and flow control the virtual channel QoS mechanisms (bandwidth allocation, priorities, timeslots, babbling idiot protection) the reception and transmission of broadcasts the correct behaviour of the error-recovery mechanisms and the data scrambler and de-scrambler. Comes with a convenient macro file to compile and run tests: SpaceFibre port IP core testbench (c) Cobham Gaisler Possible commands: (c) Compile all files (cc) Code coverage test runs (lt) List all test cases (cp) Configure SpaceFibre port (ra) Run all tests (ri) Run individual test (cd) Clean directory (q) Quit

10 Verification (3/4) The link analyser output is written to a log file during loopback test runs: ps 0000 C5_72_31_DA ps E_93_D7_A ps ACK 0001 SEQ: 10 CRC: ps _A4_AF_DC ps _72_F0_E ps _38_D5_ ps SDF 0001 VC: ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_ ps _00_00_0A ps _00_00_0B ps _00_00_0C ps FCT 0001 SEQ: 11 CRC: 3E CH: ps _00_00_0D ps FCT 0001 SEQ: 12 CRC: 21 CH: ps _00_00_0E ps FCT 0001 SEQ: 13 CRC: 07 CH: ps _00_00_0F ps FCT 0001 SEQ: 14 CRC: 1F CH: ps _00_00_ ps FCT 0001 SEQ: 15 CRC: 39 CH: ps _00_00_11 9

11 Verification (4/4) Full code coverage can be achieved by regression testing using different hard configuration options: File Statements Branches Condition Terms async_fifo.vhd 40/40 12/12 2/2 bc_layer.vhd 30/30 20/20 - fwft_fifo.vhd 25/25 17/17 4/4 fwft_fifo_ack.vhd 27/27 20/20 2/2 if_layer.vhd 166/166 80/80 26/26 lane_layer.vhd 224/224 85/85 40/40 lreset_sm.vhd 24/24 13/13 4/4 retry_buf.vhd 132/132 62/62 8/8 retry_layer.vhd 494/ / /104 spfi_pkg.vhd 217/217 4/4 - spfi_top.vhd 54/ sync_dpram.vhd 6/6 9/9 - vc_layer.vhd 222/222 79/79 28/28 10

12 Validation: Overview Validation performed on Xilinx Virtex-5 FX130T and Microsemi RTG4. Configuration: 4 Virtual Channels. Additional components: 4 Data Generators / Sinks. 1 Broadcast Generator / Sink. Link Analyser. Comfortable control of validation test bench through GRMON / Graphical User Interface. 11

13 Validation: Test Software (1/3) Overview of all IP core status flags. Control flags: Start / Autostart / Internal Loopback. Scrambler enabled. Expected bandwidth of broadcast channel (1% to 95%). Expected bandwidth of virtual channels (1% to 95%). Priority level of virtual channels (0 to 15). Time-Slot vectors of virtual channels (64 bits). Triggering of system reset / link reset. Connection to GRMON via TCP connection. 12

14 Validation: Test Software (2/3) Data Tests (4x): Up to 4 SpaceWire addresses. Packet Length. Number of packets. Auto-repeat function. Indication of: Number of RX/TX packets. Sequence, EOP and EEP errors. Average throughput rate. Broadcast Test: Number of broadcasts. Transmission delay between broadcasts. Broadcast channel. Auto-repeat function. Indication of: Number of RX/TX packets. Number of BCs with Late flag set. Sequence and Payload errors. Average throughput rate. 13

15 Validation: Test Software (3/3) Comfortable analysis of link traffic. Sample position can freely be chosen. Raw data is decoded, e.g. virtual channel numbers and sequence numbers. Simple export of results to text file. Triggering on the following events: Data/Broadcast Payload RXERR, SKIP, IDLE, STANDBY, LOS words INIT1, INIT2, INIT3 words ACK, NACK words FULL, RETRY words SDF / EDF words SBF / EBF words FCT words, SIF words 14

16 Validation: Test Hardware Interoperability test between Gaisler s SpaceFibre IP (on Virtex-5) and STAR-Dundee s STAR-Fire unit Loopback tests on Microsemi s new RTG4 device 15

17 Validation: Test Results - Loopback SpaceFibre core is operated in external loopback mode (near-end PMA loopback of SerDes activated). 1 Link initialization handshake test (link start and auto start mode) Passed 2 Transmission and reception of data frames Passed 3 Data frames interrupted by link resets are resent correctly Passed 4 Transmission and reception of broadcast frames Passed 5 Broadcast frames interrupted by link resets are resent correctly Passed 6 Scrambled data frames transmitted/received correctly Passed 7 Broadcast transmission do not exceed maximum allowed bandwidth value Passed 8 Priority mechanism for virtual channels works as expected Passed 9 Timeslot mechanism for virtual channels works as expected Passed 10 Bandwidth limitation of virtual channels works as expected Passed 11 Internal loopback mode works as expected Passed 16

18 Validation: Test Results - Device Interoperability SpaceFibre core is connected to STAR Fire unit via Serial ATA cables. Data is sent/transmitted on virtual channels 0 and 1 and looped back through routing switch in STAR Fire unit. Data Test 0 Data Test 1 Broadcast Test STAR-Dundee STAR Fire Device Link initialization handshake Data frames are sent and received correctly Broadcast frames are transmitted correctly (manual check) Broadcast frames are received correctly (manual check) Passed Passed Passed Passed Virtual Channels Broadcasts Cobham Gaisler SerDes Interface Link Analyser Virtex-5 Rocket IO GTX Transceiver VC0 Router STAR-Dundee SpaceFibre Port #2 VC1 Data frames interrupted by link resets are resent correctly Passed Broadcast frames interrupted by link resets are resent correctly Passed Scrambled data frames are sent and received correctly Failed 17

19 Synthesis Estimates Technology Configuration Area Expected data rate C65SPACE Full config with 8 VCs 25,971 NAND gate equivalents > 6.25 Gbps Virtex-4QV SX55 No 8b10b with 4 VCs 3% FFs, 9% LUTs, 3% RAMs > Gbps Virtex-5Q FX130T No 8b10b with 4 VCs 2% FFs, 4% LUTs, 3% RAMs 6.25 Gbps RTG4 Full config with 4 VCs 2% FFs, 4% LUTs, 4% RAMs Gbps RTAX2000s Full config with 2 VCs 27% C-Cells, 19% S-Cells, 37% RAMs Gbps 18

20 Thank you for your attention 19

21 Video Demonstration: Virtual Channel Bandwidth Allocation 20

22 Video Demonstration: Virtual Channel Different Priorities 21

23 Video Demonstration: Virtual Channel Timeslots 22

24 Video Demonstration: Virtual Channel Broadcasts 23

25 Video Demonstration: Virtual Channel Link errors 24

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft SpaceTech Expo 2013 Gregor Cranston Business Development Manager 1 Introducing SpaceFibre A very high-speed serial data-link

More information

SpaceFibre Flight Software Workshop 2015

SpaceFibre Flight Software Workshop 2015 SpaceFibre Flight Software Workshop 2015 Steve Parkes, University of Dundee Albert Ferrer Florit, Alberto Gonzalez Villafranca, STAR-Dundee Ltd. David McLaren, Chris McClements, University of Dundee Contents

More information

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers SpaceWire-RT SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers 1 Overview of SpaceWire-RT Project Aims The SpaceWire-RT research programme aims to: Conceive

More information

SpaceFibre Specification Draft F3

SpaceFibre Specification Draft F3 SpaceFibre Specification Draft F3 LIKELY TO CHANGE! Authors: Steve Parkes Albert Ferrer Alberto Gonzalez Chris McClements Copyright 2013 University of Dundee This page is blank intentionally. 2 Change

More information

D3.2 SpaceWire-RT Updated Specification

D3.2 SpaceWire-RT Updated Specification SPACEWIRE-RT Grant Agreement: 263148 D3.2 SpaceWire-RT Updated Specification Dissemination level: Public (PU) D3.2 SpaceWire-RT Updated Specification Lead Beneficiary: University of Dundee Author(s): Steve

More information

SpaceWire-RT Update. EU FP7 Project Russian and European Partners. SUAI, SubMicron, ELVEES University of Dundee, Astrium GmbH

SpaceWire-RT Update. EU FP7 Project Russian and European Partners. SUAI, SubMicron, ELVEES University of Dundee, Astrium GmbH SpaceWire-RT Update EU FP7 Project Russian and European Partners SUAI, SubMicron, ELVEES University of Dundee, Astrium GmbH 1 Contents SpaceWire-RT project SpaceWire-RT protocols Oversampled SpaceFibre

More information

Interlaken IP datasheet

Interlaken IP datasheet Key words:interlaken, MAC, PCS, SERDES Abstract:Interlaken MAC/PCS implementation per Interlaken protocol v1.2 All rights reserved Table of Contents 1. Introduction...4 2. Specification...4 3. Architecture...4

More information

SpaceFibre IP Core, Alpha Test Programme, and Planned SpaceFibre Contracts

SpaceFibre IP Core, Alpha Test Programme, and Planned SpaceFibre Contracts SpaceFibre IP Core, Alpha Test Programme, and Planned SpaceFibre Contracts Steve Parkes 1, Martin Suess 2 1 Space Technology Centre, University of Dundee, UK 2 ESA, ESTEC 1 Contents SpaceFibre IP Core

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

SPACEFIBRE. Session: SpaceWire Standardisation. Long Paper.

SPACEFIBRE. Session: SpaceWire Standardisation. Long Paper. SPACEFIBRE Session: SpaceWire Standardisation Long Paper Steve Parkes 1, Chris McClements 1, Martin Suess 2 1 School of Computing, University of Dundee, Dundee, DD1 4HN, Scotland, U.K. E-mail: sparkes@computing.dundee.ac.uk

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

Pretty Good Protocol - Design Specification

Pretty Good Protocol - Design Specification Document # Date effective October 23, 2006 Author(s) Ryan Herbst Supersedes Draft Revision 0.02 January 12, 2007 Document Title Pretty Good Protocol - Design Specification CHANGE HISTORY LOG Revision Effective

More information

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI)

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI) 1 Introduction The Fibre Channel (FC) is logically a bi-directional point-to-point serial data channel, structured for high performance information transport. Physically, Fibre Channel is an interconnection

More information

10GBase-R PCS/PMA Controller Core

10GBase-R PCS/PMA Controller Core 10GBase-R PCS/PMA Controller Core Contents 1 10GBASE-R PCS/PMA DATA SHEET 1 1.1 FEATURES.................................................. 1 1.2 APPLICATIONS................................................

More information

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 9, Issue 2 (November 2013), PP. 36-40 Implimentation of SpaceWire Standard in SpaceWire

More information

100 Gbps/40 Gbps PCS/PMA + MAC IP Core

100 Gbps/40 Gbps PCS/PMA + MAC IP Core 100 Gbps/40 Gbps PCS/PMA + MAC IP Core Getting started guide: 1. Prerequisites: In order to simulate and implement Aitia's 100 Gbps / 40 Gbps Ethernet PCS/PMA + MAC IP core you must meet the following

More information

D3.1 - SpaceWire-RT SDL Simulation Validation Plan

D3.1 - SpaceWire-RT SDL Simulation Validation Plan D3.1 SpaceWire-RT Simulation and Validation Plan Lead Beneficiary: Author(s): SUAI Yuriy Sheynin, Elena Suvorova, Valentin Olenev, Irina Lavrovskaya Work Package: WP3 Task: Task 3.1 Version: 2.00 Last

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

SpaceWire Remote Memory Access Protocol

SpaceWire Remote Memory Access Protocol SpaceWire Remote Memory Access Protocol Steve Parkes and Chris McClements University of Dundee, Applied Computing, Dundee, DD1 4HN, Scotland, UK. sparkes@computing.dundee.ac.uk,, cmclements@computing.dundee.ac.uk.

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

Scheduling Mechanisms for SpaceWire Networks

Scheduling Mechanisms for SpaceWire Networks Scheduling Mechanisms for SpaceWire Networks Ilya Korobkov, Elena Podgornova, Dmitry Raszhivin, Valentin Olenev, Irina Lavrovskaya {ilya.korobkov, alena.podgornova, dmitry.raszhivin, valentin.olenev, irina.lavrovskaya}@guap.ru

More information

Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks

Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks Nikolay Sinyov, Valentin Olenev, Irina Lavrovskaya, Ilya Korobkov {nikolay.sinyov, valentin.olenev,

More information

8B10B Decoder User Guide

8B10B Decoder User Guide 8B10B Decoder User Guide Product Brief Introduction The 8b10b line code is widely used to achieve DC-balance and bounded disparity when transmitting serial data over a medium. It ensures enough state transitions

More information

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING A Lattice Semiconductor White Paper February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

The SpaceWire CODEC International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands

The SpaceWire CODEC International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands The SpaceWire CODEC International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Chris McClements (1), Steve Parkes (1), Agustin Leon (2) (1) University of Dundee, Applied

More information

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 RapidIO II Reference Design for Avalon -ST Pass-Through

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

ESA-EOP needs for SpaceFibre

ESA-EOP needs for SpaceFibre ESA-EOP needs for SpaceFibre Prepared by: Josep Roselló, ESA/ESTEC/EOP-SFT (Technology Coord. & Frequency Mngt Section, EO Future Missions Division) Earth Observation Programme (EOP) Directorate @ ESA/ESTEC

More information

SpaceNet - SpaceWire-RT. Initial Protocol Definition

SpaceNet - SpaceWire-RT. Initial Protocol Definition SpaceNet - SpaceWire-RT Initial Revision: Draft A Issue 1.1 Date: 12 th May 2008 ESA Contract Number 220774-07-NL/LvH Ref: SpW-RT WP3-200.1 Space Technology Centre School of Computing University of Dundee

More information

SpaceWire-RT/SpaceFibre simulation models: implementation and application

SpaceWire-RT/SpaceFibre simulation models: implementation and application SpaceWire-RT/SpaceFibre simulation models: implementation and application Valentin Olenev Ph.D., Senior Researcher, SUAI Valentin.Olenev@guap.ru Irina Lavrovskaya Researcher, SUAI Irina.Lavrovskaya@guap.ru

More information

MOST (Modelling of SpaceWire Traffic): MTG-I simulation presentation

MOST (Modelling of SpaceWire Traffic): MTG-I simulation presentation MOST (Modelling of SpaceWire Traffic): MTG-I simulation presentation PART 1 2 1 INTRODUCTION, HISTORY and CONTEXT MTG SpaceWire Network MOST presentation (1/5) 3 MOST (Modelling of SpaceWire Traffic) was

More information

High Accuracy Time Synchronization over SpaceWire Networks

High Accuracy Time Synchronization over SpaceWire Networks High Accuracy Time Synchronization over SpaceWire Networks Final Report SPWCUC-REP-0004 Version 1.0 18 December 2013 i Final Report ESTEC Contract 400105931 EUROPEAN SPACE AGENCY CONTRACT REPORT The work

More information

SpaceNet - SpaceWire-T. Initial Protocol Definition

SpaceNet - SpaceWire-T. Initial Protocol Definition SpaceNet - SpaceWire-T Revision: Draft A Issue 3.1 Date: 24th August 2009 ESA Contract Number 220774-07-NL/LvH Ref: SpW-RT WP3-200.1 Space Technology Centre School of Computing University of Dundee Dundee,

More information

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005 Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting 4 19-20-21 July 2005 Page 1 Summary VPC2 and SPADA_RT Activity VPC2 Architecture Data Exchange VPC2 RMAP Implementation Issue FPGA Implementation

More information

Proposed Technical Solution for Half Duplex SpW

Proposed Technical Solution for Half Duplex SpW SpaceWire Evolutions Proposed Technical Solution for Half Duplex SpW 17 th SpaceWire Working Group, 14 th December 2011 Noordwijk, Netherlands ESA Contract Number 4000104023, SpaceWire Evolutions Slide

More information

Fibre Channel Arbitrated Loop v2.3

Fibre Channel Arbitrated Loop v2.3 - THIS IS A DISCONTINUED IP CORE - 0 Fibre Channel Arbitrated Loop v2.3 DS518 March 24, 2008 0 0 Introduction The LogiCORE IP Fibre Channel Arbitrated Loop (FC-AL) core provides a flexible, fully verified

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

Virtex-7 FPGA Gen3 Integrated Block for PCI Express

Virtex-7 FPGA Gen3 Integrated Block for PCI Express Virtex-7 FPGA Gen3 Integrated Block for PCI Express Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications......................................................................

More information

SpaceWire Router - Status

SpaceWire Router - Status Router - Status Working Group Meeting Dr. Stephan Fischer Dr. Steve Parkes Gerald Kempf Pierre Fabry EADS Astrium GmbH University of Dundee Austrian Aerospace GmbH ESA ESA, Noordwijk 15. Sep. 004 Outline

More information

CCSDS Unsegmented Code Transfer Protocol (CUCTP)

CCSDS Unsegmented Code Transfer Protocol (CUCTP) CCSDS Unsegmented Code Transfer Protocol (CUCTP) Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

Atacama: An Open Experimental Platform for Mixed-Criticality Networking on Top of Ethernet

Atacama: An Open Experimental Platform for Mixed-Criticality Networking on Top of Ethernet Atacama: An Open Experimental Platform for Mixed-Criticality Networking on Top of Ethernet Gonzalo Carvajal 1,2 and Sebastian Fischmeister 1 1 University of Waterloo, ON, Canada 2 Universidad de Concepcion,

More information

Interlaken Look-Aside Protocol Definition

Interlaken Look-Aside Protocol Definition Interlaken Look-Aside Protocol Definition Contents Terms and Conditions This document has been developed with input from a variety of companies, including members of the Interlaken Alliance, all of which

More information

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004 1 Introduction Initially, 10 Gigabit Ethernet (10 GbE) is used by network managers to provide high-speed, local backbone interconnection between large-capacity switches, as it enables Internet Service

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

UltraScale Architecture Integrated IP Core for Interlaken v1.3

UltraScale Architecture Integrated IP Core for Interlaken v1.3 UltraScale Architecture Integrated IP Core for Interlaken v1.3 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Multiple Access Links and Protocols

Multiple Access Links and Protocols Multiple Access Links and Protocols Two types of links : point-to-point PPP for dial-up access point-to-point link between Ethernet switch and host broadcast (shared wire or medium) old-fashioned Ethernet

More information

SpaceWire 101. Webex Seminar. February 15th, 2006

SpaceWire 101. Webex Seminar. February 15th, 2006 SpaceWire 101 Webex Seminar February 15th, 2006 www.aeroflex.com/spacewire SpaceWire 101 What is SpaceWire Protocol, Links, Basic Communication Architecture Physical Layer Interface and Network Components

More information

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use

More information

SpaceWire IP for Actel Radiation Tolerant FPGAs

SpaceWire IP for Actel Radiation Tolerant FPGAs SpaceWire IP for Actel Radiation Tolerant FPGAs Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Zaf Mahmood Actel UK 1 Actel RTAX-S Devices 2 Radiation tolerant FPGAs Non-volatile

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

1 CONTROL CHARACTERS AND CONTROL CODES

1 CONTROL CHARACTERS AND CONTROL CODES 1 CONTROL CHARACTERS AND CONTROL CODES a. A control character shall be formed from a parity bit, a data-control flag and a two bit control code with the data-control flag set to one to indicate that the

More information

The development of EGSE COTS products for highspeed O/B interfaces for advanced Spacecraft and Instruments

The development of EGSE COTS products for highspeed O/B interfaces for advanced Spacecraft and Instruments Space & Ground Systems The development of EGSE COTS products for highspeed O/ interfaces for advanced Spacecraft and Instruments 25-27 September 202 SESP, ES-ESTEC, Noordwijk Issue Rev 0 Overview Introduction

More information

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs User Guide R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of

More information

1-Port OC-48/STM-16 Packet over SONET Line Card Configuration

1-Port OC-48/STM-16 Packet over SONET Line Card Configuration CHAPTER 14 1-Port OC-48/STM-16 Packet over SONET Line Card Configuration This chapter describes procedures for configuring the Cisco 10000 series 1-Port OC-48/STM-16 Packet over SONET line card, hereafter

More information

UltraScale Architecture Integrated Block for 100G Ethernet v1.4

UltraScale Architecture Integrated Block for 100G Ethernet v1.4 UltraScale Architecture Integrated Block for 100G Ethernet v1.4 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

FIFO Generator v13.0

FIFO Generator v13.0 FIFO Generator v13.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Native Interface FIFOs.............................................................. 5

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved 1 AHB-Lite Multilayer Interconnect IP User Guide 2 Introduction The Roa Logic AHB-Lite Multi-layer Interconnect is a fully parameterized soft IP High Performance, Low Latency Interconnect Fabric for AHB-Lite.

More information

Serial RapidIO Gen2 Protocol Analyzer

Serial RapidIO Gen2 Protocol Analyzer Serial RapidIO Gen2 Protocol Analyzer Serial RapidIO Protocol Analyzer & Pattern Injector Supports Serial RapidIO Gen2 or Gen 1 Descrambling & scrambling supported Tight integration and easy setup with

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

SpaceWire. Design of the SystemC model of the SpaceWire-b CODEC. Dr. Nikos Mouratidis

SpaceWire. Design of the SystemC model of the SpaceWire-b CODEC. Dr. Nikos Mouratidis SpaceWire Design of the SystemC model of the SpaceWire-b CODEC Dr. Nikos Mouratidis Qualtek Sprl. 36 Avenue Gabriel Emile Lebon B-1160, Brussels, Belgium 19/09/2011 Activity objectives: High-level modeling

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Simulation-Based FlexRay TM Conformance Testing an OVM success story Simulation-Based FlexRay TM Conformance Testing an OVM success story Mark Litterick, Co-founder & Verification Consultant, Verilab Abstract This article presents a case study on how the Open Verification

More information

SpaceWire Router ASIC

SpaceWire Router ASIC SpaceWire Router ASIC Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Gerald Kempf, Christian Toegel Austrian Aerospace Stephan Fisher Astrium GmbH Pierre Fabry, Agustin Leon

More information

LogiCORE IP Ethernet 1000BASE-X PCS/PMA or SGMII v11.3

LogiCORE IP Ethernet 1000BASE-X PCS/PMA or SGMII v11.3 LogiCORE IP Ethernet 1000BASE-X PCS/PMA or SGMII v11.3 User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx

More information

FlexRay The Hardware View

FlexRay The Hardware View A White Paper Presented by IPextreme FlexRay The Hardware View Stefan Schmechtig / Jens Kjelsbak February 2006 FlexRay is an upcoming networking standard being established to raise the data rate, reliability,

More information

Reducing SpaceWire Time-code Jitter

Reducing SpaceWire Time-code Jitter Reducing SpaceWire Time-code Jitter Barry M Cook 4Links Limited The Mansion, Bletchley Park, Milton Keynes, MK3 6ZP, UK Email: barry@4links.co.uk INTRODUCTION Standards ISO/IEC 14575[1] and IEEE 1355[2]

More information

ADPCM-LCO Voice Compression Logic Core

ADPCM-LCO Voice Compression Logic Core ADPCM-LCO Voice Compression Logic Core Functional Description The ADPCM-LCO logic core [Adaptive Differential Pulse Code Modulation-Low Channel count Optimized] is a peripheral for digital voice compression/de-compression

More information

SpaceWire and SpaceFibre Interconnect for High Performance DSPs

SpaceWire and SpaceFibre Interconnect for High Performance DSPs SpaceWire and SpaceFibre Interconnect for High Performance s S.M. Parkes a, B. Yu b, A. Whyte b, C. McClements b, A. Ferrer Florit b, A. Gonzalez Villafranca b, a University of Dundee, Dundee, DD1 4EE,

More information

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015 Speedster22i FPGA Family Power Estimator Tool User Guide UG054- July 28, 2015 1 UG054, July 28, 2015 Copyright Info Copyright 2015 Achronix Semiconductor Corporation. All rights reserved. Achronix is a

More information

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Jonas Ekergarn, Jan Andersson, Andreas Larsson, Daniel Hellström, Magnus Hjorth Aeroflex Gaisler AB Roland Weigand

More information

Second revision of STP-ISS Transport. Protocol for Spacecraft On-board Networks

Second revision of STP-ISS Transport. Protocol for Spacecraft On-board Networks FRUCT #17 20-24 April 2015 Second revision of STP-ISS Transport Yuriy Sheynin, Valentin Olenev, Irina Lavrovskaya, Ilya Korobkov, Saint-Petersburg State University of Aerospace Instrumentation Dmitry Dymov,

More information

Architecture and Performance Evaluation of the Space Communication Protocol Proximity-1

Architecture and Performance Evaluation of the Space Communication Protocol Proximity-1 Architecture and Performance Evaluation of the Space Communication Protocol Proximity-1 Master of Science Thesis in Embedded Electronic System Design MALIN ELIASSON JOHAN HASSEL Chalmers University of

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

LogiCORE IP Serial RapidIO Gen2 v1.2

LogiCORE IP Serial RapidIO Gen2 v1.2 LogiCORE IP Serial RapidIO Gen2 v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Core10GMAC v2.0. Handbook

Core10GMAC v2.0. Handbook Core10GMAC v2.0 Handbook Table of Contents Preface... 4 About this Document... 4 Intended Audience... 4 References... 4 Introduction... 5 Overview... 5 Key Features... 5 Core Version... 5 Supported Families...

More information

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved 1 APB4 GPIO Datasheet 2 Introduction The APB4 GPIO Core is fully parameterised core designed to provide a userdefined number of general purpose, bidirectional IO to a design. The IO are accessible via

More information

frame-relay lapf n201

frame-relay lapf n201 frame-relay lapf n201 frame-relay lapf n201 To set the Link Access Procedure for Frame Relay (LAPF) N201 value (the maximum length of the Information field of the LAPF I frame), use the frame-relay lapf

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

An Introduction to the RapidIO. Bus Functional Models. White Paper

An Introduction to the RapidIO. Bus Functional Models. White Paper White Paper An Introduction to the RapidIO Bus Functional Models By: Sam Fuller, President, RapidIO Trade Association Maria Salieva, RapidIO Team Leader, Motorola Global Software Group The RapidIO Bus

More information

Wireless and Mobile Networks

Wireless and Mobile Networks Wireless and Mobile Networks Raj Jain Washington University in Saint Louis Saint Louis, MO 63130 Jain@wustl.edu Audio/Video recordings of this lecture are available on-line at: http://www.cse.wustl.edu/~jain/cse473-11/

More information

Remote Memory Access in Embedded Networked Systems

Remote Memory Access in Embedded Networked Systems Remote Memory Access in Embedded Networked Systems Valentin Olenev, I Korobkov, Liudmila Koblyakova, Felix Shutenko SUAI Introduction A Modern Embedded Networked Systems frequently consist of a large quantity

More information

FPGAs: High Assurance through Model Based Design

FPGAs: High Assurance through Model Based Design FPGAs: High Assurance through Based Design AADL Workshop 24 January 2007 9:30 10:00 Yves LaCerte Rockwell Collins Advanced Technology Center 400 Collins Road N.E. Cedar Rapids, IA 52498 ylacerte@rockwellcollins.cm

More information

10-Gbps Ethernet Reference Design

10-Gbps Ethernet Reference Design 10-Gbps Ethernet Reference Design November 2009 AN-516-2.3 Release Information Table 1 provides information about this release of the Altera 10-Gbps Ethernet reference design. Table 1. Release Information

More information

32 Channel HDLC Core V1.2. Applications. LogiCORE Facts. Features. General Description. X.25 Frame Relay B-channel and D-channel

32 Channel HDLC Core V1.2. Applications. LogiCORE Facts. Features. General Description. X.25 Frame Relay B-channel and D-channel May 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: logicore@xilinx.com URL: www.xilinx.com/ipcenter Support: www.support.xilinx.com Features

More information

High Accuracy Time Synchronization over SpaceWire Networks - update

High Accuracy Time Synchronization over SpaceWire Networks - update High Accuracy Time Synchronization over SpaceWire Networks - update Aeroflex Gaisler AB Kungsgatan 12, SE-41119 Göteborg, Sweden sales@gaisler.com +46 31 775 86 50 www.aeroflex.com/gaisler 1 Overview Standard

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

SpaceWire PC Card Development. Patria New Technologies Oy ESA / ESTEC

SpaceWire PC Card Development. Patria New Technologies Oy ESA / ESTEC SpaceWire PC Card Development Patria New Technologies Oy ESA / ESTEC SpaceWire PC Card Standard type II PC Card (Cardbus( I/F) with two SpaceWire links Access to SpaceWire networks by using a standard

More information

SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments

SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments T.Chaminade, F.Château, F.Daly, M.Donati, C.Flouzat, P.Kestener,

More information

SHA3 Core Specification. Author: Homer Hsing

SHA3 Core Specification. Author: Homer Hsing SHA3 Core Specification Author: Homer Hsing homer.hsing@gmail.com Rev. 0.1 January 29, 2013 This page has been intentionally left blank. www.opencores.org Rev 0.1 ii Rev. Date Author Description 0.1 01/29/2013

More information

VME64M VME64 MASTER CONTROLLER. Version 1.1

VME64M VME64 MASTER CONTROLLER. Version 1.1 Datasheet VME64M VME64 MASTER CONTROLLER Version 1.1 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com C O P Y R I G H T

More information

Universal Serial Bus - USB 2.0

Universal Serial Bus - USB 2.0 USB Packet Types USB has four packet types Token packets (type of transaction) Data Packets (payload / information) Handshake Packets (ack & error correction) Start of Frame packets (flag start of a new

More information