Unified VLSI Systolic Array Design for LZ Data Compression

Size: px
Start display at page:

Download "Unified VLSI Systolic Array Design for LZ Data Compression"

Transcription

1 Unified VLSI Systolic Array Design for LZ Data Compression Shih-Arn Hwang, and Cheng-Wen Wu Dept. of EE, NTHU, Taiwan, R.O.C. IEEE Trans. on VLSI Systems Vol. 9, No.4, Aug Pages: Presenter: Liang-Bi Chen

2 Abstract Hardware implementation of data compression algorithms is receiving attention due to exponentially expanding network traffic and digital data storage usage. In this paper, we propose several serial onedimensional and parallel two-dimensional systolicarrays for Lempel-Ziv data compression. A VLSI chip implementation our optimal linear array is fabricated and tested. The proposed array architecture is scalable. Also, multiple chips (linear arrays) can be connected in parallel to implement the parallel array structure and provide a proportional speedup. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 2/27

3 Outline What s the problem? Introduction Systolic Algorithm Design Systolic LZ Compressor Design Implementation Conclusion 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 3/27

4 What s the problem? LZ-based algorithms have been widely implemented with software For example Compress Zoo lha Pkzip arj However, their speed is still too low for real-time application, such as Wireless data networking High speed mass-storage transaction Hence, the hardware implementation is required for on-the-fly compression and decompression. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 4/27

5 Introduction Data compression techniques play an import role in data network and storage utilization, as well as promotion of portable computing and data communication. Many lossless data compression techniques have been proposed in the past and widely used. Hufferman code Arithmetic code Run-length code Lempel-Ziv compression algorithm 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 5/27

6 LZ hardware realizations Microprocessor approach[19] It s not attractive for real time application, since it does not fully explore hardware parallelism. Content-addressable memory (CAM) approach [15]-[18] Advantage It has a constant symbol search time. Thereby, it achieves optimal speed for compression. Disadvantage It has high hardware cost. Systolic-array approach [13],[14],[20]-[22] CAM vs. Systolic-Array The CAM approach performs string match by full parallel searching, the Systolic Array approach does it by pipelining. As compared with CAM-based designs, systolic-array compressors are slower, but better in hardware cost and testability. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 6/27

7 Systolic Algorithm Design The major concept behind the LZ algorithm is the temporal locality in the information. Since the buffer size (n) and match length (Ls) determine not only the compression efficiency but also the optimal mapping direction of the array architecture. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 7/27

8 The sequential compression algorithm 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 8/27

9 Simulations of compression ratio with respect to n and Ls The best in here Simulation on various text files. Increasing both n and Ls is not the best way to obtain a high compression ratio. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 9/27

10 Compression ratio vs. n for different Ls values 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 10/27

11 Compression ratio vs. Ls for different n values 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 11/27

12 Systolic LZ Compression Design Dependence Graph (DG) [26] Object: We can achieve the maximum parallelism in an algorithm by carefully studying the data dependencies in the computations. That shows the dependence of the computations that occur in an algorithm and can be consider as a graphic representation of a single assignment code. Form the single assignment code, the DG of the LZ algorithms can be obtained. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 12/27

13 The single-assignment code To guarantee single assignment, we use an extra index, j. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 13/27

14 Global DG of the compression algorithm A DG which contains global signals is called a global DG. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 14/27

15 Localized DG The global DG can be transformed into a localized DG, in which only local communication is involved. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 15/27

16 Type-1 array [14] 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 16/27

17 The double buffer 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 17/27

18 Type-2 Array 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 18/27

19 The longest match length decision block 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 19/27

20 On-line buffer updating unit 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 20/27

21 Interleaved Type-2 (Type-2i) Array 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 21/27

22 Type /10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 22/27

23 Type /10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 23/27

24 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 24/27

25 Parallel Type-2i Array 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 25/27

26 Implementation 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 26/27

27 Conclusions By investigating possible mapping and scheduling directions on the dependence graph, we propose the optimal array structure for LZ compression, which is better than the two recently proposed designs with respect to hardware cost and testability. Parallel arrays obtained form the block transform of the dependence graph can be used to improve the compression rate. It provides a tradeoff of cost and performance between two extremes. 2005/10/5 Unified VLSI Systolic Array Design for LZ Data Compression L. -B. Chen 27/27

Design and Implementation of FPGA- based Systolic Array for LZ Data Compression

Design and Implementation of FPGA- based Systolic Array for LZ Data Compression Design and Implementation of FPGA- based Systolic Array for LZ Data Compression Mohamed A. Abd El ghany Electronics Dept. German University in Cairo Cairo, Egypt E-mail: mohamed.abdel-ghany@guc.edu.eg

More information

SINCE arithmetic coding [1] [12] can approach the entropy

SINCE arithmetic coding [1] [12] can approach the entropy 1278 IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 47, NO. 9, SEPTEMBER 1999 A Fast and Efficient Lossless Data-Compression Method Jer Min Jou and Pei-Yin Chen, Member, IEEE Abstract This paper describes an

More information

CHAPTER II LITERATURE REVIEW

CHAPTER II LITERATURE REVIEW CHAPTER II LITERATURE REVIEW 2.1 BACKGROUND OF THE STUDY The purpose of this chapter is to study and analyze famous lossless data compression algorithm, called LZW. The main objective of the study is to

More information

LOSSLESS DATA COMPRESSION AND DECOMPRESSION ALGORITHM AND ITS HARDWARE ARCHITECTURE

LOSSLESS DATA COMPRESSION AND DECOMPRESSION ALGORITHM AND ITS HARDWARE ARCHITECTURE LOSSLESS DATA COMPRESSION AND DECOMPRESSION ALGORITHM AND ITS HARDWARE ARCHITECTURE V V V SAGAR 1 1JTO MPLS NOC BSNL BANGALORE ---------------------------------------------------------------------***----------------------------------------------------------------------

More information

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION Ming-Hwa Sheu, Sh-Chi Tsai and Ming-Der Shieh Dept. of Electronic Eng., National Yunlin Univ. of Science and Technology, Yunlin,

More information

Vlsi Design of Cache Compression in Microprocessor Using Pattern Matching Technique

Vlsi Design of Cache Compression in Microprocessor Using Pattern Matching Technique IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN : 2278-2834 Volume 1, Issue 6 (July-Aug 2012), PP 31-37 Vlsi Design of Cache Compression in Microprocessor Using Pattern Matching

More information

Multigig Lossless Data Compression Device

Multigig Lossless Data Compression Device R. Mehboob et al.: Multigig Lossless Data Compression Device 1927 Multigig Lossless Data Compression Device Rizwana Mehboob, Shoab A. Khan, Zaheer Ahmed, Habibullah Jamal, and Muhammad Shahbaz Abstract

More information

Low-Power Data Address Bus Encoding Method

Low-Power Data Address Bus Encoding Method Low-Power Data Address Bus Encoding Method Tsung-Hsi Weng, Wei-Hao Chiao, Jean Jyh-Jiun Shann, Chung-Ping Chung, and Jimmy Lu Dept. of Computer Science and Information Engineering, National Chao Tung University,

More information

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM Parekar P. M. 1, Thakare S. S. 2 1,2 Department of Electronics and Telecommunication Engineering, Amravati University Government College

More information

Study of LZ77 and LZ78 Data Compression Techniques

Study of LZ77 and LZ78 Data Compression Techniques Study of LZ77 and LZ78 Data Compression Techniques Suman M. Choudhary, Anjali S. Patel, Sonal J. Parmar Abstract Data Compression is defined as the science and art of the representation of information

More information

Simple variant of coding with a variable number of symbols and fixlength codewords.

Simple variant of coding with a variable number of symbols and fixlength codewords. Dictionary coding Simple variant of coding with a variable number of symbols and fixlength codewords. Create a dictionary containing 2 b different symbol sequences and code them with codewords of length

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 8.7 A Programmable Turbo Decoder for Multiple 3G Wireless Standards Myoung-Cheol Shin, In-Cheol Park KAIST, Daejeon, Republic of Korea

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

A High-Performance FPGA-Based Implementation of the LZSS Compression Algorithm

A High-Performance FPGA-Based Implementation of the LZSS Compression Algorithm 2012 IEEE 2012 26th IEEE International 26th International Parallel Parallel and Distributed and Distributed Processing Processing Symposium Symposium Workshops Workshops & PhD Forum A High-Performance

More information

A Simple Lossless Compression Heuristic for Grey Scale Images

A Simple Lossless Compression Heuristic for Grey Scale Images L. Cinque 1, S. De Agostino 1, F. Liberati 1 and B. Westgeest 2 1 Computer Science Department University La Sapienza Via Salaria 113, 00198 Rome, Italy e-mail: deagostino@di.uniroma1.it 2 Computer Science

More information

IMAGE COMPRESSION. Image Compression. Why? Reducing transportation times Reducing file size. A two way event - compression and decompression

IMAGE COMPRESSION. Image Compression. Why? Reducing transportation times Reducing file size. A two way event - compression and decompression IMAGE COMPRESSION Image Compression Why? Reducing transportation times Reducing file size A two way event - compression and decompression 1 Compression categories Compression = Image coding Still-image

More information

WIRE/WIRELESS SENSOR NETWORKS USING K-RLE ALGORITHM FOR A LOW POWER DATA COMPRESSION

WIRE/WIRELESS SENSOR NETWORKS USING K-RLE ALGORITHM FOR A LOW POWER DATA COMPRESSION WIRE/WIRELESS SENSOR NETWORKS USING K-RLE ALGORITHM FOR A LOW POWER DATA COMPRESSION V.KRISHNAN1, MR. R.TRINADH 2 1 M. Tech Student, 2 M. Tech., Assistant Professor, Dept. Of E.C.E, SIR C.R. Reddy college

More information

Runlength Compression Techniques for FPGA Configurations

Runlength Compression Techniques for FPGA Configurations Runlength Compression Techniques for FPGA Configurations Scott Hauck, William D. Wilson Department of Electrical and Computer Engineering Northwestern University Evanston, IL 60208-3118 USA {hauck, wdw510}@ece.nwu.edu

More information

Compression; Error detection & correction

Compression; Error detection & correction Compression; Error detection & correction compression: squeeze out redundancy to use less memory or use less network bandwidth encode the same information in fewer bits some bits carry no information some

More information

An Efficient VLSI Architecture for Full-Search Block Matching Algorithms

An Efficient VLSI Architecture for Full-Search Block Matching Algorithms Journal of VLSI Signal Processing 15, 275 282 (1997) c 1997 Kluwer Academic Publishers. Manufactured in The Netherlands. An Efficient VLSI Architecture for Full-Search Block Matching Algorithms CHEN-YI

More information

Compression; Error detection & correction

Compression; Error detection & correction Compression; Error detection & correction compression: squeeze out redundancy to use less memory or use less network bandwidth encode the same information in fewer bits some bits carry no information some

More information

Entropy Coding. - to shorten the average code length by assigning shorter codes to more probable symbols => Morse-, Huffman-, Arithmetic Code

Entropy Coding. - to shorten the average code length by assigning shorter codes to more probable symbols => Morse-, Huffman-, Arithmetic Code Entropy Coding } different probabilities for the appearing of single symbols are used - to shorten the average code length by assigning shorter codes to more probable symbols => Morse-, Huffman-, Arithmetic

More information

EE-575 INFORMATION THEORY - SEM 092

EE-575 INFORMATION THEORY - SEM 092 EE-575 INFORMATION THEORY - SEM 092 Project Report on Lempel Ziv compression technique. Department of Electrical Engineering Prepared By: Mohammed Akber Ali Student ID # g200806120. ------------------------------------------------------------------------------------------------------------------------------------------

More information

Implementation of Robust Compression Technique using LZ77 Algorithm on Tensilica s Xtensa Processor

Implementation of Robust Compression Technique using LZ77 Algorithm on Tensilica s Xtensa Processor 2016 International Conference on Information Technology Implementation of Robust Compression Technique using LZ77 Algorithm on Tensilica s Xtensa Processor Vasanthi D R and Anusha R M.Tech (VLSI Design

More information

Evolutionary Lossless Compression with GP-ZIP

Evolutionary Lossless Compression with GP-ZIP Evolutionary Lossless Compression with GP-ZIP Ahmad Kattan and Riccardo Poli Abstract In this paper we propose a new approach for applying Genetic Programming to lossless data compression based on combining

More information

SCALABLE IMPLEMENTATION SCHEME FOR MULTIRATE FIR FILTERS AND ITS APPLICATION IN EFFICIENT DESIGN OF SUBBAND FILTER BANKS

SCALABLE IMPLEMENTATION SCHEME FOR MULTIRATE FIR FILTERS AND ITS APPLICATION IN EFFICIENT DESIGN OF SUBBAND FILTER BANKS SCALABLE IMPLEMENTATION SCHEME FOR MULTIRATE FIR FILTERS AND ITS APPLICATION IN EFFICIENT DESIGN OF SUBBAND FILTER BANKS Liang-Gee Chen Po-Cheng Wu Tzi-Dar Chiueh Department of Electrical Engineering National

More information

Massively Parallel Computations of the LZ-complexity of Strings

Massively Parallel Computations of the LZ-complexity of Strings Massively Parallel Computations of the LZ-complexity of Strings Alexander Belousov Electrical and Electronics Engineering Department Ariel University Ariel, Israel alex.blsv@gmail.com Joel Ratsaby Electrical

More information

Cache Aware Compression for Processor Debug Support

Cache Aware Compression for Processor Debug Support Cache Aware Compression for Processor Debug Support Anant Vishnoi, Preeti Ranjan Panda, and M. Balakrishnan Department of Computer Science and Engineering, Indian Institute of Technology Delhi Hauz Khas,

More information

A Hybrid Approach to Text Compression

A Hybrid Approach to Text Compression A Hybrid Approach to Text Compression Peter C Gutmann Computer Science, University of Auckland, New Zealand Telephone +64 9 426-5097; email pgut 1 Bcs.aukuni.ac.nz Timothy C Bell Computer Science, University

More information

Engineering Mathematics II Lecture 16 Compression

Engineering Mathematics II Lecture 16 Compression 010.141 Engineering Mathematics II Lecture 16 Compression Bob McKay School of Computer Science and Engineering College of Engineering Seoul National University 1 Lossless Compression Outline Huffman &

More information

FAULT simulation has been heavily used in test pattern

FAULT simulation has been heavily used in test pattern 724 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 17, NO. 8, AUGUST 1998 Sequential Circuit Fault Simulation Using Logic Emulation Shih-Arn Hwang, Member, IEEE, Jin-Hua

More information

Lossless Compression Algorithms

Lossless Compression Algorithms Multimedia Data Compression Part I Chapter 7 Lossless Compression Algorithms 1 Chapter 7 Lossless Compression Algorithms 1. Introduction 2. Basics of Information Theory 3. Lossless Compression Algorithms

More information

Compression Outline :Algorithms in the Real World. Lempel-Ziv Algorithms. LZ77: Sliding Window Lempel-Ziv

Compression Outline :Algorithms in the Real World. Lempel-Ziv Algorithms. LZ77: Sliding Window Lempel-Ziv Compression Outline 15-853:Algorithms in the Rel World Dt Compression III Introduction: Lossy vs. Lossless, Benchmrks, Informtion Theory: Entropy, etc. Proility Coding: Huffmn + Arithmetic Coding Applictions

More information

A Technique for High Ratio LZW Compression

A Technique for High Ratio LZW Compression A Technique for High Ratio LZW Compression Michael J. Knieser Francis G. Wolff Chris A. Papachristou Daniel J. Weyer David R. McIntyre Indiana University Purdue University Indianapolis Case Western Reserve

More information

Abdullah-Al Mamun. CSE 5095 Yufeng Wu Spring 2013

Abdullah-Al Mamun. CSE 5095 Yufeng Wu Spring 2013 Abdullah-Al Mamun CSE 5095 Yufeng Wu Spring 2013 Introduction Data compression is the art of reducing the number of bits needed to store or transmit data Compression is closely related to decompression

More information

JPEG. Table of Contents. Page 1 of 4

JPEG. Table of Contents. Page 1 of 4 Page 1 of 4 JPEG JPEG is an acronym for "Joint Photographic Experts Group". The JPEG standard is an international standard for colour image compression. JPEG is particularly important for multimedia applications

More information

Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation

Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation LETTER IEICE Electronics Express, Vol.11, No.5, 1 6 Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation Liang-Hung Wang 1a), Yi-Mao Hsiao

More information

Dictionary Based Compression for Images

Dictionary Based Compression for Images Dictionary Based Compression for Images Bruno Carpentieri Abstract Lempel-Ziv methods were original introduced to compress one-dimensional data (text, object codes, etc.) but recently they have been successfully

More information

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path G Abhilash M.Tech Student, CVSR College of Engineering, Department of Electronics and Communication Engineering, Hyderabad, Andhra

More information

Image Compression Algorithm and JPEG Standard

Image Compression Algorithm and JPEG Standard International Journal of Scientific and Research Publications, Volume 7, Issue 12, December 2017 150 Image Compression Algorithm and JPEG Standard Suman Kunwar sumn2u@gmail.com Summary. The interest in

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Implementation of Two Level DWT VLSI Architecture

Implementation of Two Level DWT VLSI Architecture V. Revathi Tanuja et al Int. Journal of Engineering Research and Applications RESEARCH ARTICLE OPEN ACCESS Implementation of Two Level DWT VLSI Architecture V. Revathi Tanuja*, R V V Krishna ** *(Department

More information

Implementation and Optimization of LZW Compression Algorithm Based on Bridge Vibration Data

Implementation and Optimization of LZW Compression Algorithm Based on Bridge Vibration Data Available online at www.sciencedirect.com Procedia Engineering 15 (2011) 1570 1574 Advanced in Control Engineeringand Information Science Implementation and Optimization of LZW Compression Algorithm Based

More information

Category: Informational Stac Technology August 1996

Category: Informational Stac Technology August 1996 Network Working Group Request for Comments: 1967 Category: Informational K. Schneider ADTRAN, Inc. R. Friend Stac Technology August 1996 Status of This Memo PPP LZS-DCP Compression Protocol (LZS-DCP) This

More information

IN designing a very large scale integration (VLSI) chip,

IN designing a very large scale integration (VLSI) chip, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 7, NO. 5, OCTOBER 1997 741 A Comparison of Block-Matching Algorithms Mapped to Systolic-Array Implementation Sheu-Chih Cheng and Hsueh-Ming

More information

Dynamic Pipeline Design of an Adaptive Binary Arithmetic Coder

Dynamic Pipeline Design of an Adaptive Binary Arithmetic Coder IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 9, SEPTEMBER 2001 813 Dynamic Pipeline Design of an Adaptive Binary Arithmetic Coder Shiann Rong Kuang,

More information

Processors. Young W. Lim. May 12, 2016

Processors. Young W. Lim. May 12, 2016 Processors Young W. Lim May 12, 2016 Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter

Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter M. Bednara, O. Beyer, J. Teich, R. Wanka Paderborn University D-33095 Paderborn, Germany bednara,beyer,teich @date.upb.de,

More information

Lossless compression II

Lossless compression II Lossless II D 44 R 52 B 81 C 84 D 86 R 82 A 85 A 87 A 83 R 88 A 8A B 89 A 8B Symbol Probability Range a 0.2 [0.0, 0.2) e 0.3 [0.2, 0.5) i 0.1 [0.5, 0.6) o 0.2 [0.6, 0.8) u 0.1 [0.8, 0.9)! 0.1 [0.9, 1.0)

More information

AN FFT PROCESSOR BASED ON 16-POINT MODULE

AN FFT PROCESSOR BASED ON 16-POINT MODULE AN FFT PROCESSOR BASED ON 6-POINT MODULE Weidong Li, Mark Vesterbacka and Lars Wanhammar Electronics Systems, Dept. of EE., Linköping University SE-58 8 LINKÖPING, SWEDEN E-mail: {weidongl, markv, larsw}@isy.liu.se,

More information

Multimedia Systems. Part 20. Mahdi Vasighi

Multimedia Systems. Part 20. Mahdi Vasighi Multimedia Systems Part 2 Mahdi Vasighi www.iasbs.ac.ir/~vasighi Department of Computer Science and Information Technology, Institute for dvanced Studies in asic Sciences, Zanjan, Iran rithmetic Coding

More information

S 1. Evaluation of Fast-LZ Compressors for Compacting High-Bandwidth but Redundant Streams from FPGA Data Sources

S 1. Evaluation of Fast-LZ Compressors for Compacting High-Bandwidth but Redundant Streams from FPGA Data Sources Evaluation of Fast-LZ Compressors for Compacting High-Bandwidth but Redundant Streams from FPGA Data Sources Author: Supervisor: Luhao Liu Dr. -Ing. Thomas B. Preußer Dr. -Ing. Steffen Köhler 09.10.2014

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

An Efficient Architecture for Lifting-based Two-Dimensional Discrete Wavelet Transforms

An Efficient Architecture for Lifting-based Two-Dimensional Discrete Wavelet Transforms An Efficient Architecture for Lifting-based Two-Dimensional Discrete Wavelet Transforms S. Barua J. E. Carletta Dept. of Electrical and Computer Eng. The University of Akron Akron, OH 44325-3904 sb22@uakron.edu,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 3, SEPTEMBER

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 3, SEPTEMBER IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 3, SEPTEMBER 1999 345 Cost-Effective VLSI Architectures and Buffer Size Optimization for Full-Search Block Matching Algorithms

More information

pfpc: A Parallel Compressor for Floating-Point Data

pfpc: A Parallel Compressor for Floating-Point Data pfpc: A Parallel Compressor for Floating-Point Data Martin Burtscher The University of Texas at Austin burtscher@ices.utexas.edu Paruj Ratanaworabhan Cornell University paruj@csl.cornell.edu Abstract This

More information

Category: Informational December 1998

Category: Informational December 1998 Network Working Group R. Pereira Request for Comments: 2394 TimeStep Corporation Category: Informational December 1998 Status of this Memo IP Payload Compression Using DEFLATE This memo provides information

More information

On Data Latency and Compression

On Data Latency and Compression On Data Latency and Compression Joseph M. Steim, Edelvays N. Spassov, Kinemetrics, Inc. Abstract Because of interest in the capability of digital seismic data systems to provide low-latency data for Early

More information

AN EFFICIENT VLSI IMPLEMENTATION OF IMAGE ENCRYPTION WITH MINIMAL OPERATION

AN EFFICIENT VLSI IMPLEMENTATION OF IMAGE ENCRYPTION WITH MINIMAL OPERATION AN EFFICIENT VLSI IMPLEMENTATION OF IMAGE ENCRYPTION WITH MINIMAL OPERATION 1, S.Lakshmana kiran, 2, P.Sunitha 1, M.Tech Student, 2, Associate Professor,Dept.of ECE 1,2, Pragati Engineering college,surampalem(a.p,ind)

More information

Context based optimal shape coding

Context based optimal shape coding IEEE Signal Processing Society 1999 Workshop on Multimedia Signal Processing September 13-15, 1999, Copenhagen, Denmark Electronic Proceedings 1999 IEEE Context based optimal shape coding Gerry Melnikov,

More information

Data Compression Techniques

Data Compression Techniques Data Compression Techniques Part 2: Text Compression Lecture 6: Dictionary Compression Juha Kärkkäinen 15.11.2017 1 / 17 Dictionary Compression The compression techniques we have seen so far replace individual

More information

Design of Vector Register Architecture in DSP Processor for Efficient Multimedia Processing

Design of Vector Register Architecture in DSP Processor for Efficient Multimedia Processing JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 229 Design of Vector Register Architecture in DSP Processor for Efficient Multimedia Processing Chou-Pin Wu and Jen-Ming Wu

More information

Providing Efficient Support for Lossless Video Transmission and Playback

Providing Efficient Support for Lossless Video Transmission and Playback Providing Efficient Support for Lossless Video Transmission and Playback Ali Şaman Tosun, Amit Agarwal, Wu-chi Feng The Ohio State University Department of Computer and Information Science Columbus, OH

More information

Analysis of Performance and Designing of Bi-Quad Filter using Hybrid Signed digit Number System

Analysis of Performance and Designing of Bi-Quad Filter using Hybrid Signed digit Number System International Journal of Electronics and Computer Science Engineering 173 Available Online at www.ijecse.org ISSN: 2277-1956 Analysis of Performance and Designing of Bi-Quad Filter using Hybrid Signed

More information

Analysis of Parallelization Effects on Textual Data Compression

Analysis of Parallelization Effects on Textual Data Compression Analysis of Parallelization Effects on Textual Data GORAN MARTINOVIC, CASLAV LIVADA, DRAGO ZAGAR Faculty of Electrical Engineering Josip Juraj Strossmayer University of Osijek Kneza Trpimira 2b, 31000

More information

Low Power Set-Associative Cache with Single-Cycle Partial Tag Comparison

Low Power Set-Associative Cache with Single-Cycle Partial Tag Comparison Low Power Set-Associative Cache with Single-Cycle Partial Tag Comparison Jian Chen, Ruihua Peng, Yuzhuo Fu School of Micro-electronics, Shanghai Jiao Tong University, Shanghai 200030, China {chenjian,

More information

A Scalable High-Bandwidth Architecture for Lossless Compression on FPGAs

A Scalable High-Bandwidth Architecture for Lossless Compression on FPGAs 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines A Scalable High-Bandwidth Architecture for Lossless Compression on FPGAs Jeremy Fowers, Joo-Young Kim and Doug

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

Introduction to OpenMP. Lecture 10: Caches

Introduction to OpenMP. Lecture 10: Caches Introduction to OpenMP Lecture 10: Caches Overview Why caches are needed How caches work Cache design and performance. The memory speed gap Moore s Law: processors speed doubles every 18 months. True for

More information

COMP3221: Microprocessors and. Embedded Systems

COMP3221: Microprocessors and. Embedded Systems Embedded Systems Lecture 1: Introduction http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session 1, 2005 1 COMP 3221 Administration (1/2) Lecturer: Hui Wu: huiw@cse.unsw.edu.au Office: K17-501D Consultation:

More information

A Fast Block sorting Algorithm for lossless Data Compression

A Fast Block sorting Algorithm for lossless Data Compression A Fast Block sorting Algorithm for lossless Data Compression DI Michael Schindler Vienna University of Technology Karlsplatz 13/1861, A 1040 Wien, Austria, Europe michael@eiunix.tuwien.ac.at if.at is transformed

More information

Ch. 2: Compression Basics Multimedia Systems

Ch. 2: Compression Basics Multimedia Systems Ch. 2: Compression Basics Multimedia Systems Prof. Thinh Nguyen (Based on Prof. Ben Lee s Slides) Oregon State University School of Electrical Engineering and Computer Science Outline Why compression?

More information

A Dynamic Fault-Tolerant Mesh Architecture

A Dynamic Fault-Tolerant Mesh Architecture A Dynamic Fault-Tolerant Mesh Architecture Jyh-Ming Huang 1 and Ted C. Yang 2 1 Department of Information Engineering and Computer Sciences Feng-Chia University 100, Wen-Hwa d., Sea-Tween Taichung 407,

More information

Sparse Transform Matrix at Low Complexity for Color Image Compression

Sparse Transform Matrix at Low Complexity for Color Image Compression Sparse Transform Matrix at Low Complexity for Color Image Compression Dr. K. Kuppusamy, M.Sc.,M.Phil.,M.C.A.,B.Ed.,Ph.D #1, R.Mehala, (M.Phil, Research Scholar) *2. # Department of Computer science and

More information

Advanced low-complexity compression for maskless lithography data

Advanced low-complexity compression for maskless lithography data Advanced low-complexity compression for maskless lithography data Vito Dai* and Avideh Zakhor Video and Image Processing Lab Department of Electrical Engineering and Computer Science Univ. of California/Berkeley

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Reducing/eliminating visual artifacts in HEVC by the deblocking filter.

Reducing/eliminating visual artifacts in HEVC by the deblocking filter. 1 Reducing/eliminating visual artifacts in HEVC by the deblocking filter. EE5359 Multimedia Processing Project Proposal Spring 2014 The University of Texas at Arlington Department of Electrical Engineering

More information

A Very Low Bit Rate Image Compressor Using Transformed Classified Vector Quantization

A Very Low Bit Rate Image Compressor Using Transformed Classified Vector Quantization Informatica 29 (2005) 335 341 335 A Very Low Bit Rate Image Compressor Using Transformed Classified Vector Quantization Hsien-Wen Tseng Department of Information Management Chaoyang University of Technology

More information

Code Compression for the Embedded ARM/THUMB Processor

Code Compression for the Embedded ARM/THUMB Processor IEEE International Workshop on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications 8-10 September 2003, Lviv, Ukraine Code Compression for the Embedded ARM/THUMB Processor

More information

IMAGE COMPRESSION TECHNIQUES

IMAGE COMPRESSION TECHNIQUES IMAGE COMPRESSION TECHNIQUES A.VASANTHAKUMARI, M.Sc., M.Phil., ASSISTANT PROFESSOR OF COMPUTER SCIENCE, JOSEPH ARTS AND SCIENCE COLLEGE, TIRUNAVALUR, VILLUPURAM (DT), TAMIL NADU, INDIA ABSTRACT A picture

More information

2014 Summer School on MPEG/VCEG Video. Video Coding Concept

2014 Summer School on MPEG/VCEG Video. Video Coding Concept 2014 Summer School on MPEG/VCEG Video 1 Video Coding Concept Outline 2 Introduction Capture and representation of digital video Fundamentals of video coding Summary Outline 3 Introduction Capture and representation

More information

15 Data Compression 2014/9/21. Objectives After studying this chapter, the student should be able to: 15-1 LOSSLESS COMPRESSION

15 Data Compression 2014/9/21. Objectives After studying this chapter, the student should be able to: 15-1 LOSSLESS COMPRESSION 15 Data Compression Data compression implies sending or storing a smaller number of bits. Although many methods are used for this purpose, in general these methods can be divided into two broad categories:

More information

Test Data Compression Using Variable Prefix Run Length (VPRL) Code

Test Data Compression Using Variable Prefix Run Length (VPRL) Code IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 91-95 e-issn: 2319 4200, p-issn No. : 2319 4197 Test Data Compression Using Variable Prefix Run Length

More information

THE RELATIVE EFFICIENCY OF DATA COMPRESSION BY LZW AND LZSS

THE RELATIVE EFFICIENCY OF DATA COMPRESSION BY LZW AND LZSS THE RELATIVE EFFICIENCY OF DATA COMPRESSION BY LZW AND LZSS Yair Wiseman 1* * 1 Computer Science Department, Bar-Ilan University, Ramat-Gan 52900, Israel Email: wiseman@cs.huji.ac.il, http://www.cs.biu.ac.il/~wiseman

More information

FPGA based Data Compression using Dictionary based LZW Algorithm

FPGA based Data Compression using Dictionary based LZW Algorithm FPGA based Data Compression using Dictionary based LZW Algorithm Samish Kamble PG Student, E & TC Department, D.Y. Patil College of Engineering, Kolhapur, India Prof. S B Patil Asso.Professor, E & TC Department,

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

Additional Slides to De Micheli Book

Additional Slides to De Micheli Book Additional Slides to De Micheli Book Sungho Kang Yonsei University Design Style - Decomposition 08 3$9 0 Behavioral Synthesis Resource allocation; Pipelining; Control flow parallelization; Communicating

More information

Fast Two-Stage Lempel-Ziv Lossless Numeric Telemetry Data Compression Using a Neural Network Predictor

Fast Two-Stage Lempel-Ziv Lossless Numeric Telemetry Data Compression Using a Neural Network Predictor Journal of Universal Computer Science, vol. 10, no. 9 (2004), 1199-1211 submitted: 9/11/03, accepted: 9/6/04, appeared: 28/9/04 J.UCS Fast Two-Stage Lempel-Ziv Lossless Numeric Telemetry Data Compression

More information

Network Working Group. Category: Informational DayDreamer August 1996

Network Working Group. Category: Informational DayDreamer August 1996 Network Working Group Request for Comments: 1974 Category: Informational R. Friend Stac Electronics W. Simpson DayDreamer August 1996 PPP Stac LZS Compression Protocol Status of this Memo This memo provides

More information

An Efficient Implementation of LZW Decompression Using Block RAMs in the FPGA (Preliminary Version)

An Efficient Implementation of LZW Decompression Using Block RAMs in the FPGA (Preliminary Version) Bulletin of Networking, Computing, Systems, and Software www.bncss.org, ISSN 2186 5140 Volume 5, Number 1, pages 12 19, January 2016 An Efficient Implementation of LZW Decompression Using Block RAMs in

More information

The future is parallel but it may not be easy

The future is parallel but it may not be easy The future is parallel but it may not be easy Michael J. Flynn Maxeler and Stanford University M. J. Flynn 1 HiPC Dec 07 Outline I The big technology tradeoffs: area, time, power HPC: What s new at the

More information

Compression. storage medium/ communications network. For the purpose of this lecture, we observe the following constraints:

Compression. storage medium/ communications network. For the purpose of this lecture, we observe the following constraints: CS231 Algorithms Handout # 31 Prof. Lyn Turbak November 20, 2001 Wellesley College Compression The Big Picture We want to be able to store and retrieve data, as well as communicate it with others. In general,

More information

Lecture 2: Memory Systems

Lecture 2: Memory Systems Lecture 2: Memory Systems Basic components Memory hierarchy Cache memory Virtual Memory Zebo Peng, IDA, LiTH Many Different Technologies Zebo Peng, IDA, LiTH 2 Internal and External Memories CPU Date transfer

More information

Impact of Source-Level Loop Optimization on DSP Architecture Design

Impact of Source-Level Loop Optimization on DSP Architecture Design Impact of Source-Level Loop Optimization on DSP Architecture Design Bogong Su Jian Wang Erh-Wen Hu Andrew Esguerra Wayne, NJ 77, USA bsuwpc@frontier.wilpaterson.edu Wireless Speech and Data Nortel Networks,

More information

A Modification to RED AQM for CIOQ Switches

A Modification to RED AQM for CIOQ Switches A Modification to RED AQM for CIOQ Switches Jay Kumar Sundararajan, Fang Zhao, Pamela Youssef-Massaad, Muriel Médard {jaykumar, zhaof, pmassaad, medard}@mit.edu Laboratory for Information and Decision

More information

Textual Data Compression Speedup by Parallelization

Textual Data Compression Speedup by Parallelization Textual Data Compression Speedup by Parallelization GORAN MARTINOVIC, CASLAV LIVADA, DRAGO ZAGAR Faculty of Electrical Engineering Josip Juraj Strossmayer University of Osijek Kneza Trpimira 2b, 31000

More information

Cray XE6 Performance Workshop

Cray XE6 Performance Workshop Cray XE6 Performance Workshop Mark Bull David Henty EPCC, University of Edinburgh Overview Why caches are needed How caches work Cache design and performance. 2 1 The memory speed gap Moore s Law: processors

More information

Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm

Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm 157 Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm Manpreet Singh 1, Sandeep Singh Gill 2 1 University College of Engineering, Punjabi University, Patiala-India

More information

Page 1. Multilevel Memories (Improving performance using a little cash )

Page 1. Multilevel Memories (Improving performance using a little cash ) Page 1 Multilevel Memories (Improving performance using a little cash ) 1 Page 2 CPU-Memory Bottleneck CPU Memory Performance of high-speed computers is usually limited by memory bandwidth & latency Latency

More information