Design and Implementation of FPGA- based Systolic Array for LZ Data Compression

Size: px
Start display at page:

Download "Design and Implementation of FPGA- based Systolic Array for LZ Data Compression"

Transcription

1 Design and Implementation of FPGA- based Systolic Array for LZ Data Compression Mohamed A. Abd El ghany Electronics Dept. German University in Cairo Cairo, Egypt Aly E. Salama and Ahmed H. Khalil Electronics and Communication Dept. Cairo University Cairo, Egypt s: Abstract Hardware Implementation of Data compression algorithms is receiving increasing attention due to exponentially expanding network traffic and digital data storage usage. Among lossless data compression algorithms for hardware implementation, Lempel Ziv algorithm is one of the most widely used. The main objective of this paper is to enhance the efficiency of systolic- array approach for implementation of Lempel- Ziv algorithm. The proposed implementation is area and speed efficient. The compression rate is increased by more than 40% and the design area is decreased by more than 30%. The effect of the selected buffer s size on the compression ratio is analyzed. An FPGA implementation of the proposed design is carried out. It verifies that data can be compressed and decompressed onthe- fly. Index Terms CAM, data compression, LZ77, LZ78 LZSS, LZW, systolic array, FPGA. I. INTRODUCTION Data compression is becoming an essential component of high speed data communications and storage. Lossless data compression is the process of encoding ("compressing") a body of data into a smaller body of data which can at a later time be uniquely decoded ("decompressed") back to the original data. In lossy compression, the decompressed data are some approximation of the original data. Many lossless data compression techniques have been proposed in the past and widely used, e.g., Huffman code [1]-[2], arithmetic code [3]-[4], run-length code [5], and Lempel Ziv (LZ) compression algorithms [6]-[9]. Among them, the LZ algorithms are the most popular scheme when no prior knowledge or statistical characteristics of the data being compressed are available. The principle of the LZ algorithms is to find the longest match length in the buffer containing recently received data string with the incoming string and represent it with the position and length of the longest match in the buffer. Since the repeated data is linked to an older one, more concise representation is achieved and compression is done. To fulfill real-time requirements, several works on hardware realization of LZ77 or its variants have been presented in the literature. Some hardware architectures, including content addressable memory (CAM) [10], Systolic array [11] - [13], and embedded processor [14], have been proposed in the past. The microprocessor approach is not attractive for real- time applications, since it dose not fully explore hardware parallelism [13]. CAM has been considered the fastest architecture among all proposed hardware solutions for searching for a given string, as required in LZ77. A CAM- based LZ77 data compressor can process one input symbol per clock cycle, no matter what the buffer size and string length are. Thereby it achieves optimal speed for compression. However, CAM's are used high hardware complexity and high power consumption. The CAM approach performs string match by full parallel searching, while the systolic-array approach does it by pipelining. As compared with CAM- based designs, systolic array compressors are slower, but better in hardware cost and testability [13]. A first systolic array design for LZbased data compression was proposed in [11], in which thousands of processor elements (PEs) are required for a usable scale. High speed designs were then reported later [12] - [13], requiring only tens of PEs. In this paper, we present an efficient systolic array design which is high speed and area efficient. The organization of this paper is as follows: In Section II, we briefly explain the LZ compression algorithm. The results and comments about some software simulations are discussed. Then we show the dependence graph (DG) to investigate the data dependency of every computation step in the algorithm. Section III, we show the most recent systolic array architecture and propose an area and speed efficient architecture. In Section IV, the proposed systolic array structure is compared with the most recent structures [13] in terms of area, and latency. An FPGA implementation for our architecture showing the real time operations is demonstrated in Section V. Finally, conclusions are given in section VI.

2 II. LEMPEL-ZIV CODING ALGORITHM The LZ77 algorithm was proposed by Ziv and Lempel in [15]. Since the buffer size (n) and match length (Ls) determine the compression efficiency, the relationship between n and Ls for optimal compression performance is briefly examined. Then we investigate the data dependency of every computation step in the LZ compression algorithm. A. The compression algorithm: The LZ77 algorithm and its variants use a sliding window that moves a long with the cursor. The window can be divided into two parts, the part before the cursor, called the dictionary, and the part starting at the cursor, called the look-ahead buffer. The sizes of these two parts are input parameters to compression algorithm. The basic algorithm is very simple, and loops executing the following steps: 1. Find the longest match of a string starting at the cursor and completely contained in the look-ahead buffer to a string starting in the dictionary. 2. Output a triple (I p, L max, S) containing the position I p of the occurrence in the window, the length L max of the match and the next symbol S past the match. 3. Move the cursor L max + 1 symbols forward. Let us consider an example with window size of (n=9) and look-ahead buffer size (Ls=3) shown in Figure 1. Let the content of the window be denoted as X i, i = 0,1,..,n-1 and that of the look-ahead buffer be Y j, j = 0,1, Ls-1 (i.e., Y j = X i+ n-ls ). According to LZ algorithm, the content of look-ahead buffer is compared with the dictionary content starting from X0 to X n-ls-1 to find the longest match length. If the best match in the window is found to start from position I p and the match length is L max. Then L max symbols will represent by a codeword (I p, L max ). The codeword length is Lc: Lc = 1 + [log 2 (n-l s )] + [Log 2 L s ] bits (1) Lc is fixed. Assume w bits are required to represent a symbol in the window, l = [log2 Ls] bits are required to represent Lmax, and p = [log2 (n-ls)] bits are required to represent Ip. Then the compression ratio is (l + p) / (Lmax * w), where 0 Lmax Ls. Hence the compression ratio depends on the match situation. Figure 1 window of the LZ compressor example The codeword design and the choice of widow size are crucial in achieving maximum compression. The LZ technique involves the converting of variable length substrings into fixed length codewords that represent the pointer and the length of match. Hence, selection of values of n and L s can greatly influence the compression efficiency of the LZ algorithm. B. Compression Algorithm Paramters Selection Simulation for the performance of the LZSS algorithm for different buffers sizes is performed using the Calgary corpus and the Silesia Corpus [16], as shown in Figure 2 and Figure 3 In these experiments, the codeword is up to 2 bytes long. compression ratio Ls values n=256 n=512 n=1024 n=2048 n=4096 n=8192 n=16384 Figure 2 The relationship between the compression ratio of Calgary corpus and Ls for different values of n compression ratio Ls values n=256 n=512 n=1024 n=2048 n=4096 n=8192 n=16384 Figure 3 The relationship between the compression ratio of Silesia corpus corpus and Ls for different values of n. From Figure 2 and Figure 3, Improvement of the compression ratio can be achieved when n is greater than The above improvement can be obtained only when L s = 8, 16, or 32. Based on the results, the best L s for a good compression ratio is 2 4. Increasing L s beyond that will require a much faster growing n (as well as hardware cost and computation time), with saturating or even worsening compression effect. The reason is that repeating patterns tend to be short, and that increasing L s and n also increases the codeword length (l + p). To achieve a good performance for different data formats, L s may range from 8 to 32, while n may be from 1k to 8 k. The simulation results verify the results proposed in [17]. C. Dependency graph: A dependence graph (DG) is a graph that shows the dependence of the computations that occur in an algorithm. The DG of the LZ algorithm can be obtained as shown in Figure 4. In the DG, L (match length) and E (match signal) are propagated from cell to cell. X (content of the window) and Y (content of the look-ahead buffer) are broadcast horizontally and diagonally to all cells, respectively. The DG shown in Figure 4 is called a global DG, because it contains global signals. The global DG can be transformed into a localized DG, by propagating the input data Y and X from cell to cell instead of broadcasting them. Processor assignment can be done by projection of the DG onto the surface normal to the projection vector selected. After

3 processor assignment, we schedule the events using a schedule vector. i Match results block MRB is needed to determine L max among the serially produced L i s. MRB is shown in Figure 8. The PEs need not store their ids to record the position of the L i s. A special counter is needed to generate the sequence which interleaves the position of the first half of L i s and the position for the second half as shown in Figure 9. The compression time of the interleaved design is n clock cycles. Figure 4 The dependence graph of the LZ compression algorithm. III. SYSTOLIC ARRAY ARCHITECTURE A. The Interleaved Design: From the dependence graph shown in Figure 4, the interleaved design is obtained by projecting all the nodes in a particular raw to a single processor element. This design was first proposed in [13]. The space time diagram and the resulting array of the interleaved design are given in Figure 5. The Y j s which are needed to be accessed in parallel do not change during the encoding step. The special buffer is needed to generate the interleaving symbols of X i and X i + [n- Ls/2] as shown in Figure 6. The first L i will be obtained after L s clock cycles from the leftmost PE and subsequent ones will be obtained every one clock cycles. Figure 5 The space-time diagram and the resulting array of interleaved design. Figure 6 buffer design for generating the interleaving symbols. Before the encoding process, we preload the Y i s which take L s extra cycles. During the encoding process, the time to preload new source symbols depend on how many source symbols were compressed in the previous compression step, L max. The block diagram of the processor element is shown in Figure 7. Figure 7 The block diagram of interleaved design PE. Figure 8 the match results block Figure 9 Special position counter in MRB for interleaved Design. B. Proposed Design (Design- P) From the dependence graph shown in Figure 4, we project all the nodes in a particular row to a single processor element. This produces an array of length Ls. The spacetime diagram and the resulting array of Design-P are given in Figure 10, where D represents a unit delay on the signal line between two processing elements. As shown in Figure 10, the architecture consists of L s processing elements which are used for the comparison and L-encoder which is used to produce the matching length. Consequently, the look-ahead buffer symbols Y j s which do not change during the encoding step, stay in PEs. The dictionary element X i moves systolically from left to right with a delay of 1 clock cycle. The match signal E i of the processing element moves to the L-encoder. The output L i of the encoder is the matching length resulting from the comparisons at step i-1. The first L i will be obtained after one clock cycle and the subsequent ones will be obtained every clock cycles. Before the encoding process, we preload the Y i s to be processed and this takes L s extra cycles. During the encoding process, the time to preload new source symbols depends upon how many source symbols were compressed in the previous compression step, L max. The functional block of the PE is shown in Figure 11. Only one equality is needed for comparing Y j and incoming X i. The result E i (match signal) propagates to L- encoder. The block diagram of L- encoder is shown in Figure 12. According to E i s (match signals), L- encoder computes the match length L i corresponding to position i.

4 X7. X1 X0 PE2 PE1 PE0 Y2 D D Y1 Y0 t space E2 E1 E0 Figure 10 Architecture of Design-P. L-encoder PE2 PE1 PE0 L i 1 X2-Y0 X1-Y1 X0-Y0 2 X3-Y0 X2-Y1 X1-Y0 L0 3 X4-Y0 X3-Y1 X2-Y0 L1 4 X5-Y0 X4-Y1 X3-Y0 L2 5 X6-Y0 X5-Y1 X4-Y0 L3 6 X7-Y0 X6-Y1 X5-Y0 L4 7 L5 Figure 11 The functional block of Design-P PE. L i L i I p Reg counter Lmax Reg a > b p M ux M ux L s a = b done n - Ls a = b Figure 13 The match results block (MRB) for design-p. Parallel compression can be achieved by using an appropriate number of Design- P modules. For example, we can use two modules of Design P, as shown in Figure 14. The input sequence of the first module (Xi) is obtained from the first position of Buffer. The input sequence of the second module (X i +[(n Ls)/2 ] ) is obtained starting at ((n Ls)/2 ) position in the Dictionary. Note that the MRB now needs to determine L max among LI, LII that are produced at the same time. So we need to modify MRB. The speed is two times the Design P array. l p L max I p Code word ready Figure 12 L-encode From Figure 10, it is clear that the maximum matching length is not produced by the L-encoder. So, we need a match results block (MRB), as shown in Figure 13, to determine L max among the serially produced L i s. Also, the PEs need not store their ids to record the position of the L i s (Ip). Since p = [log2(n-ls)] bits are required to represent Ip, only a p- bit counter is required to provide the position i associated with each L i, since the time when L i is produced corresponds to its position. MRB uses a to compare the current input L i and the present longest match length L max stored in the register. If the current input L i is larger than L max, then L i is loaded into the register and the content of the position counter is also loaded into another register which is used to store the present Ip. Another is used to determine whether the whole window has been searched. It compares the content of the position counter with n- L s, whose output is used as the codeword ready signal. During the searching process, L i might be equal to L s when I< n- L s, i.e., the content in the look-ahead buffer can be fully matched to a subset of the dictionary, and hence searching the whole window is not always necessary. An extra is used to determine whether L max is equal to L s, and hence the string matching process is completed. Therefore, we can immediately start encoding a new set of data. This will reduce the average compression time. The number of clock cycles needed to produce a codeword is [(n- L s ) + 1] clock cycles, so the utilization rate of each PE is (n- L s ) / [(n-l s ) + 1], which almost equals to one. This result is consistent since the PE is busy once L i is determined until the time at which the codeword is produced. IV. Figure 14 Parallel compression. THE COMPARISON BETWEEN THE DESIGN-P AND INTERLEAVED DESIGN (DESIGN-I) The comparison of two designs is given in Table 1. Our Design (Design-P), which has the maximum utilization rate, minimum latency time (high compression speed) and minimum area, is the best array architecture for LZSS. TABLE 1 THE COMPARISON BETWEEN DESIGN-P AND DESIGN-I. Interleaved Design Design-P PEs Ls Ls Utilization (n-ls)/ n (n-ls)/ (n- Ls+ 1) Latency n n- Ls+ 1 DFFs per PE 2w+l+1 2w + 1 accumulator Per PE Counter per MRB 2 1 Multiplexer per MRB 3 2 Multiplexer per buffer Equality per MRB 1 2 V. FPGA IMPLEMENTATION In this section, we present the implementation methodology of the design utilizing FPGA. As shown in Figure 15, the architecture consists of 3 major components: systolic-array LZ component SALZC, block RAM, and host controller. In our implementation, the length of window size (n) is 1K, and the length of look-ahead buffer (L s ) is 16. SALZ component contains 16 PEs and implements the most cost effective array architecture (Design P). Full custom

5 layout is easy since the array is very regular. Only a single cell (PE) was hand laid out. The other 15 PEs are just its copies. Since the array is systolic, routing also is simplified. Block RAM that is used as the datuffer (Dictionary) is not included in SALZ component. Thereby we can increase the dictionary size by directly replacing the block RAM with a larger one. The dictionary size is a parameter to cover a broad range of applications, from text compression to lossless image compression. VI. CONCLUSIONS In this paper, we described a parallel algorithm for LZ based data compression by transforming a data dependent algorithm to a data independent regular algorithm. We propose our structure for LZ compression. To further improve the latency, we used a control variable to indicate early completion. The proposed implementation is area and speed efficient. The compression rate is increased by more than 40% and the design area is decreased by more than 30%. The design can be integrated into real time systems so that data can be compressed and decompressed on the - fly. Figure 15 LZ compression chip The implementation of our architecture (Design-P) and interleaved design (Design-i) are carried out using XILINX (Spartan II XC200) FPGA, for n= 1 k, L s =16, w =8. The implementation results are shown in Table 2. TABLE 2 THE IMPLEMENTATION RESULTS OF DESIGN-P AND DESIGN-I of Slices of Slice Flip Flops of 4 input LUTs of BRAMs Maximum Frequency Design-P % 408 8% 419 8% 2 14% 105 MHz Design-i % % % 2 14% MHz The compression rate of a compressor is defined as the number of input bits which can be compressed in one second. The compression rate (Rc) can be estimated as follows: Rc = clk [(LsW) /(n-l s +1)] (2) Where clk is the clock rate. Note that only estimated Rc can be obtained, since it depends on the input data. We can not predict exactly how many words will be compressed (L s at most) and how many clock cycles will be required ((n L s +1) at most) for every compression step. In our Implementation, if the window size (n) is 1k, L s =16, w =8, and clk = 105 MHz, The Rc is about 13 M bit per second. If we want to use the parallel scheme to increase the compression rate, we can directly modify the host controller and connect an appropriate number of LZ compressor components in parallel, as shown in Figure 16. Note that the MRB now needs to determine L max among L I, L II and L III. e.g., ten components could be implemented in one chip of large size to achieve a compression rate about 130 M bits per second. Moreover, by modifying the host controller and including, e.g., dictionaries, our chip can be used for other string-matching based LZ algorithms, such as LZ78 and LZW. Our architecture is flexible. Figure 16 Multiple SALZC system. REFERENCES [1] D. A. Huffman "A method for the construction of minimumredundancy codes" Proc. IRE, Vol. 40, pp , Sept [2] R. Gallager, Variations on a theme by Huffman, IEEE Trans.Inform. Theory, vol. IT-24, pp , [3] E. Bodden, M. Clasen, J. Kneis, R. Schluter, "Arithmetic coding revealed" pp. 8-57, May [4] A. Said, " Introduction to Arithmetic coding Theory and Practice" Imaging Systems Laboratory, HP Laboratories Palo Alto, April [5] S. Golomb, Run-length encoding, IEEE Trans. Inform. Theory, Vol. IT-12, pp , July [6] J. Ziv and A. Lempel, A universal algorithm for sequential data compression, IEEE Trans. Inform. Theory, Vol. IT-23, pp , [7] J. Ziv and A. Lempel, Compression of individual sequences via variable rate coding, IEEE Trans. Inform. Theory, Vol. IT-24, pp , [8] T. Welch, "A technique for high-performance data compression," IEEE computer, Vol. 17, pp. 8-19, [9] D. Salomon, "Data Compression" The complete reference, Computer Science Department, California State University, Northridge, pp , [10] K.-J. Lin and C.-W. Wu, "A low-power CAM design for LZ data compressor," IEEE Transactions on Computers, Vol.49, No.10, pp , Oct [11] N. Ranganathan, and S. Henriques, High-Speed VLSI Designs for Lempel-Ziv-Based Data Compression, IEEE Trans. Circuits and Systems. II: Analog and Digital Signal Processing, vol. 40, pp , Feb [12] B. Jung and W. P. Burleson, Efficient VLSI for Lempel-Ziv compression in wireless data communication networks, IEEE Trans. VLSI Syst. Vol. 6, pp , Sept [13] S.-A. Hwang and C. -W. Wu, Unified VLSI Systolic Array Design for LZ Data compression, IEEE Trans. VLSI Systems, vol. 9, pp , August [14] J. Chang, H. J Jih, and J. W. Liu, A lossless data compression processor, in Proc. 4 th VLSI Design/CAD Workshop, Aug. 1994, pp [15] J. Ziv and A. Lempel, A universal algorithm for sequential data compression, IEEE Trans. Inform. Theory, vol. IT-23, pp , [16] S. Deorowicz Universal lossless data compression algorithms Dissertation, Silesian University, pp , [17] M. Arias, R. Cumplido, C. Feregrino "On the Design and Implementation of an FPGA-based Lossless Data Compressor.", ReConFig 04, Colima, Mexico, Sep., [18] M. M. Sandoval and C. F. Uribe, A hardware Architecture for Elliptic Curve Cryptography and Lossless Data Compression, IEEE Computer Society, May 2005.

Unified VLSI Systolic Array Design for LZ Data Compression

Unified VLSI Systolic Array Design for LZ Data Compression Unified VLSI Systolic Array Design for LZ Data Compression Shih-Arn Hwang, and Cheng-Wen Wu Dept. of EE, NTHU, Taiwan, R.O.C. IEEE Trans. on VLSI Systems Vol. 9, No.4, Aug. 2001 Pages: 489-499 Presenter:

More information

LOSSLESS DATA COMPRESSION AND DECOMPRESSION ALGORITHM AND ITS HARDWARE ARCHITECTURE

LOSSLESS DATA COMPRESSION AND DECOMPRESSION ALGORITHM AND ITS HARDWARE ARCHITECTURE LOSSLESS DATA COMPRESSION AND DECOMPRESSION ALGORITHM AND ITS HARDWARE ARCHITECTURE V V V SAGAR 1 1JTO MPLS NOC BSNL BANGALORE ---------------------------------------------------------------------***----------------------------------------------------------------------

More information

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM Parekar P. M. 1, Thakare S. S. 2 1,2 Department of Electronics and Telecommunication Engineering, Amravati University Government College

More information

FPGA based Data Compression using Dictionary based LZW Algorithm

FPGA based Data Compression using Dictionary based LZW Algorithm FPGA based Data Compression using Dictionary based LZW Algorithm Samish Kamble PG Student, E & TC Department, D.Y. Patil College of Engineering, Kolhapur, India Prof. S B Patil Asso.Professor, E & TC Department,

More information

Implementation of Robust Compression Technique using LZ77 Algorithm on Tensilica s Xtensa Processor

Implementation of Robust Compression Technique using LZ77 Algorithm on Tensilica s Xtensa Processor 2016 International Conference on Information Technology Implementation of Robust Compression Technique using LZ77 Algorithm on Tensilica s Xtensa Processor Vasanthi D R and Anusha R M.Tech (VLSI Design

More information

Runlength Compression Techniques for FPGA Configurations

Runlength Compression Techniques for FPGA Configurations Runlength Compression Techniques for FPGA Configurations Scott Hauck, William D. Wilson Department of Electrical and Computer Engineering Northwestern University Evanston, IL 60208-3118 USA {hauck, wdw510}@ece.nwu.edu

More information

S 1. Evaluation of Fast-LZ Compressors for Compacting High-Bandwidth but Redundant Streams from FPGA Data Sources

S 1. Evaluation of Fast-LZ Compressors for Compacting High-Bandwidth but Redundant Streams from FPGA Data Sources Evaluation of Fast-LZ Compressors for Compacting High-Bandwidth but Redundant Streams from FPGA Data Sources Author: Supervisor: Luhao Liu Dr. -Ing. Thomas B. Preußer Dr. -Ing. Steffen Köhler 09.10.2014

More information

Simple variant of coding with a variable number of symbols and fixlength codewords.

Simple variant of coding with a variable number of symbols and fixlength codewords. Dictionary coding Simple variant of coding with a variable number of symbols and fixlength codewords. Create a dictionary containing 2 b different symbol sequences and code them with codewords of length

More information

A High-Performance FPGA-Based Implementation of the LZSS Compression Algorithm

A High-Performance FPGA-Based Implementation of the LZSS Compression Algorithm 2012 IEEE 2012 26th IEEE International 26th International Parallel Parallel and Distributed and Distributed Processing Processing Symposium Symposium Workshops Workshops & PhD Forum A High-Performance

More information

A Lemple -Ziv based Configuration Management Architecture for Reconfigurable Computing

A Lemple -Ziv based Configuration Management Architecture for Reconfigurable Computing A Lemple -Ziv based Configuration Management Architecture for Reconfigurable Computing by Melany Ann Richmond A project report submitted in partial fulfillment of the requirements for the degree of Master

More information

THE RELATIVE EFFICIENCY OF DATA COMPRESSION BY LZW AND LZSS

THE RELATIVE EFFICIENCY OF DATA COMPRESSION BY LZW AND LZSS THE RELATIVE EFFICIENCY OF DATA COMPRESSION BY LZW AND LZSS Yair Wiseman 1* * 1 Computer Science Department, Bar-Ilan University, Ramat-Gan 52900, Israel Email: wiseman@cs.huji.ac.il, http://www.cs.biu.ac.il/~wiseman

More information

An Efficient Implementation of LZW Decompression Using Block RAMs in the FPGA (Preliminary Version)

An Efficient Implementation of LZW Decompression Using Block RAMs in the FPGA (Preliminary Version) Bulletin of Networking, Computing, Systems, and Software www.bncss.org, ISSN 2186 5140 Volume 5, Number 1, pages 12 19, January 2016 An Efficient Implementation of LZW Decompression Using Block RAMs in

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

EE-575 INFORMATION THEORY - SEM 092

EE-575 INFORMATION THEORY - SEM 092 EE-575 INFORMATION THEORY - SEM 092 Project Report on Lempel Ziv compression technique. Department of Electrical Engineering Prepared By: Mohammed Akber Ali Student ID # g200806120. ------------------------------------------------------------------------------------------------------------------------------------------

More information

Image Compression for Mobile Devices using Prediction and Direct Coding Approach

Image Compression for Mobile Devices using Prediction and Direct Coding Approach Image Compression for Mobile Devices using Prediction and Direct Coding Approach Joshua Rajah Devadason M.E. scholar, CIT Coimbatore, India Mr. T. Ramraj Assistant Professor, CIT Coimbatore, India Abstract

More information

Entropy Coding. - to shorten the average code length by assigning shorter codes to more probable symbols => Morse-, Huffman-, Arithmetic Code

Entropy Coding. - to shorten the average code length by assigning shorter codes to more probable symbols => Morse-, Huffman-, Arithmetic Code Entropy Coding } different probabilities for the appearing of single symbols are used - to shorten the average code length by assigning shorter codes to more probable symbols => Morse-, Huffman-, Arithmetic

More information

Comparative Study of Dictionary based Compression Algorithms on Text Data

Comparative Study of Dictionary based Compression Algorithms on Text Data 88 Comparative Study of Dictionary based Compression Algorithms on Text Data Amit Jain Kamaljit I. Lakhtaria Sir Padampat Singhania University, Udaipur (Raj.) 323601 India Abstract: With increasing amount

More information

Data Compression Techniques

Data Compression Techniques Data Compression Techniques Part 2: Text Compression Lecture 6: Dictionary Compression Juha Kärkkäinen 15.11.2017 1 / 17 Dictionary Compression The compression techniques we have seen so far replace individual

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

A NOVEL APPROACH FOR A HIGH PERFORMANCE LOSSLESS CACHE COMPRESSION ALGORITHM

A NOVEL APPROACH FOR A HIGH PERFORMANCE LOSSLESS CACHE COMPRESSION ALGORITHM A NOVEL APPROACH FOR A HIGH PERFORMANCE LOSSLESS CACHE COMPRESSION ALGORITHM K. Janaki 1, K. Indhumathi 2, P. Vijayakumar 3 and K. Ashok Kumar 4 1 Department of Electronics and Communication Engineering,

More information

Data Compression. Media Signal Processing, Presentation 2. Presented By: Jahanzeb Farooq Michael Osadebey

Data Compression. Media Signal Processing, Presentation 2. Presented By: Jahanzeb Farooq Michael Osadebey Data Compression Media Signal Processing, Presentation 2 Presented By: Jahanzeb Farooq Michael Osadebey What is Data Compression? Definition -Reducing the amount of data required to represent a source

More information

A Novel Image Compression Technique using Simple Arithmetic Addition

A Novel Image Compression Technique using Simple Arithmetic Addition Proc. of Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC A Novel Image Compression Technique using Simple Arithmetic Addition Nadeem Akhtar, Gufran Siddiqui and Salman

More information

An On-line Variable Length Binary. Institute for Systems Research and. Institute for Advanced Computer Studies. University of Maryland

An On-line Variable Length Binary. Institute for Systems Research and. Institute for Advanced Computer Studies. University of Maryland An On-line Variable Length inary Encoding Tinku Acharya Joseph F. Ja Ja Institute for Systems Research and Institute for Advanced Computer Studies University of Maryland College Park, MD 242 facharya,

More information

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 PP 11-18 www.iosrjen.org Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory S.Parkavi (1) And S.Bharath

More information

The Effect of Non-Greedy Parsing in Ziv-Lempel Compression Methods

The Effect of Non-Greedy Parsing in Ziv-Lempel Compression Methods The Effect of Non-Greedy Parsing in Ziv-Lempel Compression Methods R. Nigel Horspool Dept. of Computer Science, University of Victoria P. O. Box 3055, Victoria, B.C., Canada V8W 3P6 E-mail address: nigelh@csr.uvic.ca

More information

Multigig Lossless Data Compression Device

Multigig Lossless Data Compression Device R. Mehboob et al.: Multigig Lossless Data Compression Device 1927 Multigig Lossless Data Compression Device Rizwana Mehboob, Shoab A. Khan, Zaheer Ahmed, Habibullah Jamal, and Muhammad Shahbaz Abstract

More information

Multi-level Design Methodology using SystemC and VHDL for JPEG Encoder

Multi-level Design Methodology using SystemC and VHDL for JPEG Encoder THE INSTITUTE OF ELECTRONICS, IEICE ICDV 2011 INFORMATION AND COMMUNICATION ENGINEERS Multi-level Design Methodology using SystemC and VHDL for JPEG Encoder Duy-Hieu Bui, Xuan-Tu Tran SIS Laboratory, University

More information

ANALYSIS OF AN AREA EFFICIENT VLSI ARCHITECTURE FOR FLOATING POINT MULTIPLIER AND GALOIS FIELD MULTIPLIER*

ANALYSIS OF AN AREA EFFICIENT VLSI ARCHITECTURE FOR FLOATING POINT MULTIPLIER AND GALOIS FIELD MULTIPLIER* IJVD: 3(1), 2012, pp. 21-26 ANALYSIS OF AN AREA EFFICIENT VLSI ARCHITECTURE FOR FLOATING POINT MULTIPLIER AND GALOIS FIELD MULTIPLIER* Anbuselvi M. and Salivahanan S. Department of Electronics and Communication

More information

Journal of Computer Engineering and Technology (IJCET), ISSN (Print), International Journal of Computer Engineering

Journal of Computer Engineering and Technology (IJCET), ISSN (Print), International Journal of Computer Engineering Journal of Computer Engineering and Technology (IJCET), ISSN 0976 6367(Print), International Journal of Computer Engineering and Technology (IJCET), ISSN 0976 6367(Print) ISSN 0976 6375(Online) Volume

More information

Study of LZ77 and LZ78 Data Compression Techniques

Study of LZ77 and LZ78 Data Compression Techniques Study of LZ77 and LZ78 Data Compression Techniques Suman M. Choudhary, Anjali S. Patel, Sonal J. Parmar Abstract Data Compression is defined as the science and art of the representation of information

More information

Lossless compression II

Lossless compression II Lossless II D 44 R 52 B 81 C 84 D 86 R 82 A 85 A 87 A 83 R 88 A 8A B 89 A 8B Symbol Probability Range a 0.2 [0.0, 0.2) e 0.3 [0.2, 0.5) i 0.1 [0.5, 0.6) o 0.2 [0.6, 0.8) u 0.1 [0.8, 0.9)! 0.1 [0.9, 1.0)

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

A Hybrid Approach to Text Compression

A Hybrid Approach to Text Compression A Hybrid Approach to Text Compression Peter C Gutmann Computer Science, University of Auckland, New Zealand Telephone +64 9 426-5097; email pgut 1 Bcs.aukuni.ac.nz Timothy C Bell Computer Science, University

More information

CS 493: Algorithms for Massive Data Sets Dictionary-based compression February 14, 2002 Scribe: Tony Wirth LZ77

CS 493: Algorithms for Massive Data Sets Dictionary-based compression February 14, 2002 Scribe: Tony Wirth LZ77 CS 493: Algorithms for Massive Data Sets February 14, 2002 Dictionary-based compression Scribe: Tony Wirth This lecture will explore two adaptive dictionary compression schemes: LZ77 and LZ78. We use the

More information

A Comparative Study Of Text Compression Algorithms

A Comparative Study Of Text Compression Algorithms International Journal of Wisdom Based Computing, Vol. 1 (3), December 2011 68 A Comparative Study Of Text Compression Algorithms Senthil Shanmugasundaram Department of Computer Science, Vidyasagar College

More information

An Efficient Implementation of LZW Compression in the FPGA

An Efficient Implementation of LZW Compression in the FPGA An Efficient Implementation of LZW Compression in the FPGA Xin Zhou, Yasuaki Ito and Koji Nakano Department of Information Engineering, Hiroshima University Kagamiyama 1-4-1, Higashi-Hiroshima, 739-8527

More information

ISSN Vol.05,Issue.09, September-2017, Pages:

ISSN Vol.05,Issue.09, September-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.09, September-2017, Pages:1693-1697 AJJAM PUSHPA 1, C. H. RAMA MOHAN 2 1 PG Scholar, Dept of ECE(DECS), Shirdi Sai Institute of Science and Technology, Anantapuramu,

More information

15 Data Compression 2014/9/21. Objectives After studying this chapter, the student should be able to: 15-1 LOSSLESS COMPRESSION

15 Data Compression 2014/9/21. Objectives After studying this chapter, the student should be able to: 15-1 LOSSLESS COMPRESSION 15 Data Compression Data compression implies sending or storing a smaller number of bits. Although many methods are used for this purpose, in general these methods can be divided into two broad categories:

More information

SIGNAL COMPRESSION Lecture Lempel-Ziv Coding

SIGNAL COMPRESSION Lecture Lempel-Ziv Coding SIGNAL COMPRESSION Lecture 5 11.9.2007 Lempel-Ziv Coding Dictionary methods Ziv-Lempel 77 The gzip variant of Ziv-Lempel 77 Ziv-Lempel 78 The LZW variant of Ziv-Lempel 78 Asymptotic optimality of Ziv-Lempel

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

Fundamentals of Multimedia. Lecture 5 Lossless Data Compression Variable Length Coding

Fundamentals of Multimedia. Lecture 5 Lossless Data Compression Variable Length Coding Fundamentals of Multimedia Lecture 5 Lossless Data Compression Variable Length Coding Mahmoud El-Gayyar elgayyar@ci.suez.edu.eg Mahmoud El-Gayyar / Fundamentals of Multimedia 1 Data Compression Compression

More information

WIRE/WIRELESS SENSOR NETWORKS USING K-RLE ALGORITHM FOR A LOW POWER DATA COMPRESSION

WIRE/WIRELESS SENSOR NETWORKS USING K-RLE ALGORITHM FOR A LOW POWER DATA COMPRESSION WIRE/WIRELESS SENSOR NETWORKS USING K-RLE ALGORITHM FOR A LOW POWER DATA COMPRESSION V.KRISHNAN1, MR. R.TRINADH 2 1 M. Tech Student, 2 M. Tech., Assistant Professor, Dept. Of E.C.E, SIR C.R. Reddy college

More information

Golomb Coding Implementation in FPGA

Golomb Coding Implementation in FPGA Faculty of Electrical Engineering Universiti Teknologi Malaysia VOL., NO. 2, 28, 36-4 ELEKTRIKA http://fke.utm.my/elektrika Golomb Coding Implementation in FPGA G. H. H ng, M. F. M. Salleh and Z. A. Halim

More information

Digital Communication Prof. Bikash Kumar Dey Department of Electrical Engineering Indian Institute of Technology, Bombay

Digital Communication Prof. Bikash Kumar Dey Department of Electrical Engineering Indian Institute of Technology, Bombay Digital Communication Prof. Bikash Kumar Dey Department of Electrical Engineering Indian Institute of Technology, Bombay Lecture - 29 Source Coding (Part-4) We have already had 3 classes on source coding

More information

A Compression Technique Based On Optimality Of LZW Code (OLZW)

A Compression Technique Based On Optimality Of LZW Code (OLZW) 2012 Third International Conference on Computer and Communication Technology A Compression Technique Based On Optimality Of LZW (OLZW) Utpal Nandi Dept. of Comp. Sc. & Engg. Academy Of Technology Hooghly-712121,West

More information

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE Anni Benitta.M #1 and Felcy Jeba Malar.M *2 1# Centre for excellence in VLSI Design, ECE, KCG College of Technology, Chennai, Tamilnadu

More information

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path G Abhilash M.Tech Student, CVSR College of Engineering, Department of Electronics and Communication Engineering, Hyderabad, Andhra

More information

Lempel-Ziv-Welch (LZW) Compression Algorithm

Lempel-Ziv-Welch (LZW) Compression Algorithm Lempel-Ziv-Welch (LZW) Compression lgorithm Introduction to the LZW lgorithm Example 1: Encoding using LZW Example 2: Decoding using LZW LZW: Concluding Notes Introduction to LZW s mentioned earlier, static

More information

Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications

Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications 46 IJCSNS International Journal of Computer Science and Network Security, VOL.8 No.3, March 2008 Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications

More information

Engineering Mathematics II Lecture 16 Compression

Engineering Mathematics II Lecture 16 Compression 010.141 Engineering Mathematics II Lecture 16 Compression Bob McKay School of Computer Science and Engineering College of Engineering Seoul National University 1 Lossless Compression Outline Huffman &

More information

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits International Journal of Communication Engineering and Technology. ISSN 2277-3150 Volume 3, Number 1 (2013), pp. 13-22 Research India Publications http://www.ripublication.com Compact Clock Skew Scheme

More information

Error Resilient LZ 77 Data Compression

Error Resilient LZ 77 Data Compression Error Resilient LZ 77 Data Compression Stefano Lonardi Wojciech Szpankowski Mark Daniel Ward Presentation by Peter Macko Motivation Lempel-Ziv 77 lacks any form of error correction Introducing a single

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier U.V.N.S.Suhitha Student Department of ECE, BVC College of Engineering, AP, India. Abstract: The ever growing need for improved

More information

Efficient design and FPGA implementation of JPEG encoder

Efficient design and FPGA implementation of JPEG encoder IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 47-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Efficient design and FPGA implementation

More information

Design and Implementation of Lossless Data Compression Coprocessor using FPGA

Design and Implementation of Lossless Data Compression Coprocessor using FPGA Design and Implementation of Lossless Data Compression Coprocessor using FPGA Udaya Kumar H PG Student(VLSI Design and Embedded Systems) SIET, Tumkur Karnataka, India Madhu B C Assistant Prof., Dept. of

More information

FPGA Implementation of Image Compression Using SPIHT Algorithm

FPGA Implementation of Image Compression Using SPIHT Algorithm FPGA Implementation of Image Compression Using SPIHT Algorithm Mr.Vipin V 1, Miranda Mathews 2, Assistant professor, Department of ECE, St. Joseph's College of Engineering & Technology, Palai, Kerala,

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A.S. Sneka Priyaa PG Scholar Government College of Technology Coimbatore ABSTRACT The Least Mean Square Adaptive Filter is frequently

More information

A Time-Multiplexed FPGA

A Time-Multiplexed FPGA A Time-Multiplexed FPGA Steve Trimberger, Dean Carberry, Anders Johnson, Jennifer Wong Xilinx, nc. 2 100 Logic Drive San Jose, CA 95124 408-559-7778 steve.trimberger @ xilinx.com Abstract This paper describes

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Implementation of SCN Based Content Addressable Memory

Implementation of SCN Based Content Addressable Memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 48-52 www.iosrjournals.org Implementation of

More information

FPGA Implementation of Huffman Encoder and Decoder for High Performance Data Transmission

FPGA Implementation of Huffman Encoder and Decoder for High Performance Data Transmission FPGA Implementation of Huffman Encoder and Decoder for High Performance Data Transmission Shireesha Thummala 1,Thrisul Kumar. J 2, Swarna latha. E 3 1 Vignan Institute of Technology and Aeronautical Engineering,

More information

Image compression. Stefano Ferrari. Università degli Studi di Milano Methods for Image Processing. academic year

Image compression. Stefano Ferrari. Università degli Studi di Milano Methods for Image Processing. academic year Image compression Stefano Ferrari Università degli Studi di Milano stefano.ferrari@unimi.it Methods for Image Processing academic year 2017 2018 Data and information The representation of images in a raw

More information

IMPLEMENTATION OF A FAST MPEG-2 COMPLIANT HUFFMAN DECODER

IMPLEMENTATION OF A FAST MPEG-2 COMPLIANT HUFFMAN DECODER IMPLEMENTATION OF A FAST MPEG-2 COMPLIANT HUFFMAN ECOER Mikael Karlsson Rudberg (mikaelr@isy.liu.se) and Lars Wanhammar (larsw@isy.liu.se) epartment of Electrical Engineering, Linköping University, S-581

More information

Compression. storage medium/ communications network. For the purpose of this lecture, we observe the following constraints:

Compression. storage medium/ communications network. For the purpose of this lecture, we observe the following constraints: CS231 Algorithms Handout # 31 Prof. Lyn Turbak November 20, 2001 Wellesley College Compression The Big Picture We want to be able to store and retrieve data, as well as communicate it with others. In general,

More information

Parallelized Radix-4 Scalable Montgomery Multipliers

Parallelized Radix-4 Scalable Montgomery Multipliers Parallelized Radix-4 Scalable Montgomery Multipliers Nathaniel Pinckney and David Money Harris 1 1 Harvey Mudd College, 301 Platt. Blvd., Claremont, CA, USA e-mail: npinckney@hmc.edu ABSTRACT This paper

More information

A Method for Virtual Extension of LZW Compression Dictionary

A Method for Virtual Extension of LZW Compression Dictionary A Method for Virtual Extension of Compression Dictionary István Finta, Lóránt Farkas, Sándor Szénási and Szabolcs Sergyán Technology and Innovation, Nokia Networks, Köztelek utca 6, Budapest, Hungary Email:

More information

High Speed Special Function Unit for Graphics Processing Unit

High Speed Special Function Unit for Graphics Processing Unit High Speed Special Function Unit for Graphics Processing Unit Abd-Elrahman G. Qoutb 1, Abdullah M. El-Gunidy 1, Mohammed F. Tolba 1, and Magdy A. El-Moursy 2 1 Electrical Engineering Department, Fayoum

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Improving LZW Image Compression

Improving LZW Image Compression European Journal of Scientific Research ISSN 1450-216X Vol.44 No.3 (2010), pp.502-509 EuroJournals Publishing, Inc. 2010 http://www.eurojournals.com/ejsr.htm Improving LZW Image Compression Sawsan A. Abu

More information

CS150 Fall 2012 Solutions to Homework 6

CS150 Fall 2012 Solutions to Homework 6 CS150 Fall 2012 Solutions to Homework 6 October 6, 2012 Problem 1 a.) Answer: 0.09 ns This delay is given in Table 65 as T ILO, specifically An Dn LUT address to A. b.) Answer: 0.41 ns In Table 65, this

More information

High Performance Hardware Architectures for A Hexagon-Based Motion Estimation Algorithm

High Performance Hardware Architectures for A Hexagon-Based Motion Estimation Algorithm High Performance Hardware Architectures for A Hexagon-Based Motion Estimation Algorithm Ozgur Tasdizen 1,2,a, Abdulkadir Akin 1,2,b, Halil Kukner 1,2,c, Ilker Hamzaoglu 1,d, H. Fatih Ugurdag 3,e 1 Electronics

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

CHAPTER II LITERATURE REVIEW

CHAPTER II LITERATURE REVIEW CHAPTER II LITERATURE REVIEW 2.1 BACKGROUND OF THE STUDY The purpose of this chapter is to study and analyze famous lossless data compression algorithm, called LZW. The main objective of the study is to

More information

Hardware Parallel Decoder of Compressed HTTP Traffic on Service-oriented Router

Hardware Parallel Decoder of Compressed HTTP Traffic on Service-oriented Router Hardware Parallel Decoder of Compressed HTTP Traffic on Service-oriented Router Daigo Hogawa 1, Shin-ichi Ishida 1, Hiroaki Nishi 1 1 Dept. of Science and Technology, Keio University, Japan Abstract This

More information

Dictionary techniques

Dictionary techniques Dictionary techniques The final concept that we will mention in this chapter is about dictionary techniques. Many modern compression algorithms rely on the modified versions of various dictionary techniques.

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

EE67I Multimedia Communication Systems Lecture 4

EE67I Multimedia Communication Systems Lecture 4 EE67I Multimedia Communication Systems Lecture 4 Lossless Compression Basics of Information Theory Compression is either lossless, in which no information is lost, or lossy in which information is lost.

More information

Design and Implementation of 3-D DWT for Video Processing Applications

Design and Implementation of 3-D DWT for Video Processing Applications Design and Implementation of 3-D DWT for Video Processing Applications P. Mohaniah 1, P. Sathyanarayana 2, A. S. Ram Kumar Reddy 3 & A. Vijayalakshmi 4 1 E.C.E, N.B.K.R.IST, Vidyanagar, 2 E.C.E, S.V University

More information

A Simple Lossless Compression Heuristic for Grey Scale Images

A Simple Lossless Compression Heuristic for Grey Scale Images L. Cinque 1, S. De Agostino 1, F. Liberati 1 and B. Westgeest 2 1 Computer Science Department University La Sapienza Via Salaria 113, 00198 Rome, Italy e-mail: deagostino@di.uniroma1.it 2 Computer Science

More information

DEFLATE COMPRESSION ALGORITHM

DEFLATE COMPRESSION ALGORITHM DEFLATE COMPRESSION ALGORITHM Savan Oswal 1, Anjali Singh 2, Kirthi Kumari 3 B.E Student, Department of Information Technology, KJ'S Trinity College Of Engineering and Research, Pune, India 1,2.3 Abstract

More information

Bits and Bit Patterns

Bits and Bit Patterns Bits and Bit Patterns Bit: Binary Digit (0 or 1) Bit Patterns are used to represent information. Numbers Text characters Images Sound And others 0-1 Boolean Operations Boolean Operation: An operation that

More information

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2 ISSN 2277-2685 IJESR/November 2014/ Vol-4/Issue-11/799-807 Shruti Hathwalia et al./ International Journal of Engineering & Science Research DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL ABSTRACT

More information

IMAGE COMPRESSION TECHNIQUES

IMAGE COMPRESSION TECHNIQUES IMAGE COMPRESSION TECHNIQUES A.VASANTHAKUMARI, M.Sc., M.Phil., ASSISTANT PROFESSOR OF COMPUTER SCIENCE, JOSEPH ARTS AND SCIENCE COLLEGE, TIRUNAVALUR, VILLUPURAM (DT), TAMIL NADU, INDIA ABSTRACT A picture

More information

-

- Volume 4 Issue 05 May-2016 Pages-5429-5433 ISSN(e):2321-7545 Website: http://ijsae.in DOI: http://dx.doi.org/10.18535/ijsre/v4i05.20 DCT Compression of Test Vector in SoC Authors Ch. Shanthi Priya 1, B.R.K.

More information

FPGA Based FIR Filter using Parallel Pipelined Structure

FPGA Based FIR Filter using Parallel Pipelined Structure FPGA Based FIR Filter using Parallel Pipelined Structure Rajesh Mehra, SBL Sachan Electronics & Communication Engineering Department National Institute of Technical Teachers Training & Research Chandigarh,

More information

Programmable Memory Blocks Supporting Content-Addressable Memory

Programmable Memory Blocks Supporting Content-Addressable Memory Programmable Memory Blocks Supporting Content-Addressable Memory Frank Heile, Andrew Leaver, Kerry Veenstra Altera 0 Innovation Dr San Jose, CA 95 USA (408) 544-7000 {frank, aleaver, kerry}@altera.com

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems RAVI KUMAR SATZODA, CHIP-HONG CHANG and CHING-CHUEN JONG Centre for High Performance Embedded Systems Nanyang Technological University

More information

ITCT Lecture 8.2: Dictionary Codes and Lempel-Ziv Coding

ITCT Lecture 8.2: Dictionary Codes and Lempel-Ziv Coding ITCT Lecture 8.2: Dictionary Codes and Lempel-Ziv Coding Huffman codes require us to have a fairly reasonable idea of how source symbol probabilities are distributed. There are a number of applications

More information

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Yun R. Qu, Viktor K. Prasanna Ming Hsieh Dept. of Electrical Engineering University of Southern California Los Angeles, CA 90089

More information

Data Storage. Slides derived from those available on the web site of the book: Computer Science: An Overview, 11 th Edition, by J.

Data Storage. Slides derived from those available on the web site of the book: Computer Science: An Overview, 11 th Edition, by J. Data Storage Slides derived from those available on the web site of the book: Computer Science: An Overview, 11 th Edition, by J. Glenn Brookshear Copyright 2012 Pearson Education, Inc. Data Storage Bits

More information

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 8.7 A Programmable Turbo Decoder for Multiple 3G Wireless Standards Myoung-Cheol Shin, In-Cheol Park KAIST, Daejeon, Republic of Korea

More information

VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila Khan 1 Uma Sharma 2

VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila Khan 1 Uma Sharma 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 05, 2015 ISSN (online): 2321-0613 VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila

More information

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SYSTEMATIC ERROR-CORRECTING CODES IMPLEMENTATION FOR MATCHING OF DATA ENCODED M.Naga Kalyani*, K.Priyanka * PG Student [VLSID]

More information

DESIGN OF DCT ARCHITECTURE USING ARAI ALGORITHMS

DESIGN OF DCT ARCHITECTURE USING ARAI ALGORITHMS DESIGN OF DCT ARCHITECTURE USING ARAI ALGORITHMS Prerana Ajmire 1, A.B Thatere 2, Shubhangi Rathkanthivar 3 1,2,3 Y C College of Engineering, Nagpur, (India) ABSTRACT Nowadays the demand for applications

More information