Generation of Distributed Arithmetic Designs for Reconfigurable Applications

Size: px
Start display at page:

Download "Generation of Distributed Arithmetic Designs for Reconfigurable Applications"

Transcription

1 Geeratio of Distributed Arithmetic Desigs for Recofigurable Applicatios Christophe Bobda, Ali Ahmadiia, Jürge Teich Uiversity of Erlage-Nuremberg Departmet of computer sciece Am Weichselgarte 3, Erlage, Germay Klaus Dae Uiversity of Paderbor Heiz Nixdorf Istitute Fürsteallee 11, Paderbor, Germay Abstract: We preset a tool for desig ad implemetatio of recofigurable computig applicatios based o the use of distributed arithmetic. Our tool provides the user the possibility to ivestigate differet tradeoffs like area vs speed for his desig. After simulatio of the desig, a sythesizable HDL code for a recofigurable platform ca be geerated. Beside the existig fixed-poit solutios for real umbers, we preset a ew approach to hadle real umbers i the IEEE 754 floatig-poit format. The tool is used i the implemetatio of two applicatios. The first oe is the implemetatio of a recursive covolutio algorithm for time domai simulatio of multimode itrasystem itercoects ad the secod oe is the implemetatio of adaptive mechatroical multi-cotroller systems. 1 Itroductio Distributed arithmetic (DA) [Wh89, Mi00, i95, i00a] is usually defied as computatio usig look-up table. The mai applicatio of DA is the dot-product computatio of two vectors, where oe of the two vectors is costat (i.e all the elemets are costat values). I this case, all the additios i which at least oe elemet of the costat vector is ivolved are precomputed ad stored i a look-up table. At ru-time, the elemets of the variable vector are used to address the look-up table ad retreive partial sums i a bit-serial (1-BAAT, 1 Bit At A Time) maer. Oe of the otable cotributio i DA has bee doe by White [Wh89]. He proposed the use of ROMs to store the pre-computed values. The surroudig logic to access the ROM ad retrieve the partial sums has to be implemeted o a separate chip. Because of this moribud architecture, the DA method could ot be successfully used. With the appearace of SRAM based FPGAs, the DA became a iterestig alterative to implemet sigal processig applicatio i FPGA [Mi00, i95, i00a]. Because of the availbility of SRAMs i those FPGAs, the precomputed values could ow be stored i the same chip as the surroudig logic. 205

2 Altough DA is used for may applicatios, the users still have to desig their systems ad ivestigate the differet tradeoffs by had. This process is ot always easy ad ca be time cosumig. O the other had, fixed-poit format is used to represet real umbers. This results i the lost of accuracy as well as the limitatio of the umbers rage. We have developed a framework to help desigers i the developmet of sigal processig applicatios usig the DA. Moreover we are able to hadle real umber i the IEEE 754 floatig-poit format. The rest of the paper is orgaized as follows: Sectio 2 provides the basics of distributed arithmetics as well as our method for hadlig real umbers. I sectio 3 our tool, the DA geerator is preseted while sectio 4 shows how DA ca efficietly beefit from partial recofiguratio. I sectio 5 we preset the applicatio of our framework for the geeratio ad evaluatio of the code for two sigal processig applicatios. Sectio 6 cocludes the paper ad gives some idicatios for future work. 2 Distributed Arithmetic The idea behid the distributed arithmetic is to distribute the bits of oe operad across the equatio to be computed, such as to obtai a ew equatio which ca the be computed i a more efficiet way. For the dot-product, we are give the followig equatio: Z A i A i (1) The vector A is a costat vector of dimesio while is a variable vector of the same dimesio. With the biary represetatio w j 0 1 i j2 j (where w ist the width of the variables ad i j 0 1 is the j-th bit of i ) of the i s, equatio (1) ca be writte as: Z A i w 1 j 0 w i j 2 j 1 2 j i j A i (2) j 0 Equatio (2) represets the geeral form of a distributed arithmetic sice each bit of each variable operad cotributes oly oce to the sum i ja i. Because i j 0 1, the umber of possible values i ja i ca take is limited to 2. Therefore, they ca be precomputed ad stored i a look-up table. We call such a look-up table a distributed arithmetic look-up table (DALUT). The DALUT has the size w 2 bits. The -tupel ( 1 j 2 j j ) is the used to address the DALUT ad retrieve the value i ja i. The complete dot-product Z requires w steps to be computed i a 1-BAAT maer. At step j, the j-th bit of each variable are used to address the DALUT ad retrieved the value i ja i. This value is the left shifted by a factor j (which correspods to a multiplicatio by 2 j ) ad accumulated. After w accumulatios, the values of the dotproduct ca be collected. The DA datapath is the obvious as illustrated i Figure 1. May ehacemets ca be doe o a DA implemetatio. The size of the DALUT for example could be halved if oly positive values are stored. I this case, the first bits of a umber represetig the sig will be used to decide if the retrieved value should be added 206

3 DALUT- Address 0 0(w-1) 1(w-1) A 0 A 1 A 0 + A 2 A 1 A 2 + A 0 A 2 + A 1 (w-1) 1 0 A 2 + A 1 + A 0 j Shift + Z Abbildug 1: The DALUT dot-product computatio or substracted from the accumulated sum. O the other had it is obvious that all the bit operatios are idepedet from each other ad therefore could be doe i parallel. The degree of parallelism depeds o the available memory to implemet the DALUTs. I the case where w DALUTs ca be istatiated i parallel, computatio of the complete dotproduct ca be doe i oly oe step. I geeral, if k DALUTs are istatiated i parallel, the w k steps are required for the complete computatio. The computatio is doe i this case o a k-baat basis. 2.1 High Dimesioal Distributed Arithmetic I may areas, for example i mechaical cotrol, computatios are ot oly limited to dot-product. Matrix operatios are used as show i equatio (3). z 1 z 2... z s a a 1r... a 1s... a sr x 1 x 2... x r (3) Equatio (3) ca be implemeted usig s DALUTs. The i-th (i 1 s ) DALUT is used for the dot product z i r j 0 x j a i j usig the costats a i1 to a ir. If there is eough space o the chip to hold all the DALUTs ad the resultig adder tree, the equatio (3) ca be computed i just oe clock. If there is ot eough space to hold the adder tree but eough memory to hold the DALUTs the the computatio have to be doe sequetially. As we will see later partial recofiguratio ca also be used to implemet may dot-products if there is ot eough memory o the chip to hold all the DALUTs. 207

4 2.2 Floatig-poit Distributed Arithmetic The straightforward approach to hadle real umbers i distributed arithmetic is the use of fixed-poit which does ot differ from the iteger implemetatio. However, the rage of a fixed-poit represetatio as well as their precisio is small compared to those of a floatig-poit represetatio. Therefore we will like to hadle real umbers as floatigpoit. I this sectio we preset our cocept for hadlig real umbers as floatig-poit i the IEEE 754 format i distributed arithmetic. I IEEE 754 format, a umber is represeted as follows: 1 S 2 e 1 m (4) Where e is the expoet (we cosider that the substractio with the bias is doe ad the result is e ), m is the matissa ad S is the sig of. Without loss of geerality, we will cosider the sig to be part of the matissa, thus the ew represetatio is 2 e m. With A, ad Z beig all floatig-poit umbers, the floatig-poit couterpart of equatio (1) is give by: Z A 2 e A i 2 e i i m Ai A i 2 e A i m Ai m i 2 e A i e i 2 e i m Ai Our goal is to compute ad provide Z as floatig-poit umber. Therefore we would like to read (at each step of the computatio) a floatig-poit value F i from the floatig-poit DALUT ad add it to a accumulated sum which is also a floatig-poit umber. Sice the adder used at this stage is a floatig poit adder, issues like roudig ad ormilizatio will be cosidered i its implemetatio. From the last part of equatio (5), it is obvious that the value 2 e A i e i m Ai m i represets a floatig-poit umber with expoet e Ai e i ad matissa m Ai m i. By settig e Fi e Ai e i ad m Fi m Ai m i, we have the requested values at each computatio step. Istead of computig the expoetial part 2 e A i e i of F i as well as its matissa m Ai m i j olie, our approach cosist of usig two floatig-poit DALUTS for each costat A i. We precompute ad save the values e Ai e xi i the first DALUT ad m Ai m i i the secod oe. We call the first DALUT which stores the expoets the EDALUT ad the secod DALUT which stores the matissas the MDALUT. The size of EDALUT: size EDALUT as well as that of MDALUT: size MDALUT are defied i equatios (6). m i m i size EDALUT E 2 E bits (6) (5) size MDALUT 2 M M bits (7) E is the expoet width ad M is the matissa width of the floatig-poit represetatio. The mai argumet agaist our approach could be that the size of the DALUTs used for this floatig-poit DA implemetatio will be too big ad therefore, the method could ot 208

5 be implemeted. But if we cosider a DA implemetatio ivolvig five variables ad five coefficiets represeted i the IEEE 754 floatig-poit format with 8 bits expoet ad 10 bits matissa, the total memory requiremet for the EDALUTs ad the MDALUTs is: Kbits. Therefore the EDALUTS ad the MDALUTs will easily fit ito the smallest low cost FPGA (the Sparta III, 50) from ilix which has 72 Kbits Block RAM [i00b]. Our approach is therefore suitable for FPGA implemetatio. Havig the EDALUTs ad the MDALUTs for each coefficiet, the datapath will ot e x m x e x1 m x1 e x0 m x0 LUTs 1 e A1 e A1 + 1 ma1 ma1 * 2 Cotrol e A1 + 2 E ma1 * 2 M e A2 ma2 LUTs 2 + e A2 2 E m A2 * 2 M e Fi + m Fi e A ma e Zi m Zi LUTs ea + 2 E ma * 2 M Abbildug 2: The DALUT dot-product computatio be implemeted as i the fixed-poit or iteger case. The variables are o more iput i a bit-serial way. At step i the variable i is used to address the two i-th EDALUT ad MDALUT. The bits of e i are used to access the EDALUT while the bits of m i are used to access the MDALUT i parallel. The values collected from the EDALUT ad the MDALUT are used to build the floatig poit umber F i. After steps the floatig-poit dot-product is computed. Figure 2 shows the datapath for the floatig-poit DA. Sice all the DALUTs for the coefficiets are available o the device, they ca be accessed i parallel to compute the dot-product i oly oe step. 3 The DA Geerator We have developed a tool to help the user i the developmet of DA-based sigal processig applicatios. Because those applicatios are usually based o the computatio of the dot-product of oe vector of variables with a vector of costats, they are ideal cadidates for a DA implemetatio i recofigurable hardware. I our tool, the user ca ivestigate the differet tradeoffs for his applicatio. For a give device ad a give set of costats, we geerate differet DA implemetatios from the full adder tree (w-baat) which ca 209

6 be computed i oly oe step to the full sequetial DA (1-BAAT). For each possibility, the user is provided the area ad speed of the desig. Real umbers ca be hadled either as fixed-poit or as floatig-poit i the IEEE 754 format with the techique previously defied. The width of the matissa as well as that of the expoet has to be provided. As Hardware Descriptio Laguage we use the Hadel-C laguage. 4 Use of Recofiguratio The possibility of exchagig mechatroical cotrollers i a ruig system usig FPGA has bee preseted i [DBK03]. A adaptive mechatroical system made upo a moitorig part remaiig fix (FM) all the time ad two recofigurable cotrollers (CM1, CM2) are preseted. At each poit of time, oe of the two cotrollers must be active to cotrol the plat. Depedig o the eviromet factors, the moitorig part ca place a ew cotroller o a predefied slot occupied by the iactive module usig partial recofiguratio. The cotroller of the plat is the chaged by switchig from the active cotroller to the ew loaded oe. With this, the system remais active while adaptig itself to its eviroemet. The cotroller codes are implemeted ad stored as partial bitstreams i a ROM ad ca be dowloaded i the correspodig slot by the moitorig module. A substatial effort have bee doe to implemet the system i FPGA. Because the routig tools ca route the coectio betwee the fixed module ad the recofigurable cotroller i differet ways across differet cofiguratios, the system may ot work properly if partial recofiguratioi is doe to replace a old cotroller. To avoid this, fixed commuicatio chaels had to be defied to allow the fix moitorig module to commuicate with the recofigurable parts of the desigs. This process is difficult to be automatized with the curret tools. Therefore most of the work has to be doe by had. I geeral, recofiguratio ca be doe either by chagig the DALUTs cotet or by chagig the datapath from a x-baat to a y-baat implemetatio. The previous described adaptive mechatroical system ca be easily implemeted usig distributed arithmetic. Moreover, for a x-baat datapath, the cotrol part is the same for all DA desigs with a give umber of variables, it does ot eed to be chaged o recofiguratio. The oly thig we eed to do is to fill the memory with the correct combiatios of the costat values ad restart the computatio with the fixed cotrol part. This process is easy to be automatized ad ca be itegrated i CAD tools. 5 Applicatios Our tool was used i the desig of two sigal processig applicatios with differet tradeoffs. The first applicatio is the recursive covolutio algorithm of time domai simulatio of optical multimode itrasystem itercoects ad the secod is the implemetatio of the adaptive mechatroic cotroller previously described. The two applicatios are described below. 210

7 5.1 Recursive covolutio algorithm of time domai simulatio of optical multimode itrasystem itercoects I geeral, a optical itrasystem itercoect cotais several receivers with optical iputs drive by trasmitters with optical outputs. The itercoectios of trasmitter ad receivers are made by a passive optical waveguide which are represeted as a multiport (figure 3) usig ray tracig approach. The trasfer of a optical sigal alog the wave- )+*,* #%$ "! &(' -+.0/. 7(89 :(;< ; 1%23 4%5,6 5 Abbildug 3: A optical multimode waveguide is represeted by a multiport with several trasfer paths. guide ca be computed by a multiple covolutio process. Frequecy domai simulatio methods are ot applicable regardig to the high umber of frequecy. Pure time domai simulatio methods are more efficiet if the pulse resposes ca be represeted by expoetial fuctios.the applicatio of a recursive method for the covolutio of the optical stimulus sigals at the iput ports with the correspodig pulse resposes eables a time efficiet computatio of the optical respose sigals at the belogig output ports. The recursive formula to be implemeted i three differet itervals is give by equatio (8). y t f 0 = y t 1 (8) f 4 = x 0 f 5 = x 1 f 24 = x 2 f 53 = x 3 f 0, f 4, f 4, f 24 ad f 53 are costats while t 1, x 0, x 1, x 2, x 3 are variables. Therefore DA ca be applied for this computatio. For this equatio, differet tradeoffs were ivestigated i our framework. A hadel-c code were geerated ad the complete desig was implemeted o a system made upo the Celoxica RC100-PP board equipped with a ilix Virtex 2000E FPGA ad pluged ito a workstatio. The workstatio is used for sedig the variable to the FPGA ad collectig the result of the computatio. The implemetatio of equatio (8) i three itervals occupies about 14 % of the FPGA area while ruig at 65 MHZ. Because we had o restrictio o the space, our goal was to implemet the maximum k-parallel DALUT ad therefore, icrease the computatio speed. We could therefore implemet a 6-parallel level DALUT, thus icreasig the performace of factor 6. The 6-parallel DALUT ad the correspodig adder three occupy about 76 % of the FPGA area. Eough space is left for the routig. 211

8 Workstatio 1 iterval 3 itervals Su Ultra ms ms Athlo (1.53 GHZ) 558 ms ms FPGA (time) 1 iterval 3 itervals Pure dot-product 25.6 ms 76.8 ms Sequetial DA 19.4 ms 19.4 ms 3-parallel DA 6.4 ms 6.4 ms FPGA (area) 1 iterval 3 itervals fit fit Pure dot-product o o Sequetial DA yes (7 % ) yes (14 % ) 3-parallel DA yes (14 % ) yes (42 % ) Tabelle 1: Results of the recursive covolutio equatio o differet plattforms The same desig was implemeted without use of DA. It could ot fit ito the same FPGA ad the ru-time was much loger. The compariso of our DA implemetatio with other implemetatio is give i table1. The performace as well as the area cosumptio of our implemetatio is more efficiet tha that of all the other architectures. 5.2 Digital Liear Cotroller The task of a cotroller is to ifluece the dyamic behavior of a system referred as plat. If the iput values for the plat are calculated o basis of the plat s outputs, we refer to a cotrol feedback. A commo basic approach is to model the plat as a liear time-ivariat system. Based o this model ad the requiremets of the desired system behavior, a liear cotroller is systematically derived usig formal desig methods. The cotroller as a result of the sythesis cosidered above, is described as a liear time-ivariat system ad a time discretizatio is performed which results i equatio (9). The iput vector of the cotroller is represeted by u (measuremets from sesors of the plat),y is the output vector of the cotroller (regulatig variable to actuators of the plat) ad x is the ier state vector of the cotroller. The matrices A B C ad D are used for the calculatio of the outputs based o the iputs. where p dim u, dim x ad q dim y x k 1 Ax k Bu k y k Cx k Du k (9) The task of the digital system is to calculate equatio (9) durig oe samplig iterval. That icludes determiig the ew state x k 1 ad the output y k before the ext samplig poit k 1. The state space equatios of a digital liear time ivariat cotroller (equatio (9)) ca be 212

9 writte as a product of a matrix of fix coefficiets ad a vector of variables. z> q? 1@ M> q? p@ v> p? 1@ (10) I geeral, the calculatio of z evolves q times the computatio of a p dimesio scalar product (each row of M has to be multiplied with v). The matrix M is ofte sparse, thus the dimesio of the scalar products ca be reduced from p to e i, where e i is the umber of o zero places i row i of M This ca be used to reduce the size of the DALUT i a DA implemetatio of eq Tradeoffs of Distributed Arithmetic Implemetatio Equatio (10) was implemeted i our framework ad the area/time tradeoff was explored o differet levels from the 1-BAAT to the w-baat computatio. Further o, we have ivestigated the differet levels of parallelism for the q dimesioality. This rages from the full sequetial implemetatio of the ivolved dot-products usig oe data-path to the full parallel implemetatio usig q data-paths. Moder FPGA architetures offer several techiques to implemet the DALUTs. For each dot-product block-ram ca be used. If we cosider usig dual-port RAM, the the scalar-products ca be computed i a 2-BAAT fashio. Because the DALUT etries do ot chage durig the computatio, higher order multi-port RAMs ca be used. Those RAMs easily ca be builded by multiple istatiatio of oe RAM. Fialy, the DALUT ca be implemeted usig the FPGA lookup tables. The cotroller which was used i this experimet is that of the iverse pedulum. It has three iputs (p 3), two ier states ( 2) ad oe output (q 1) ad operates with a wordwidth of 20 bits. Therefore the matrix M has a dimesio of 3 5. Sice some of the matrix etries are zero, three scalar-products have to be computed with dimesio 2, 2 ad 5 respectively. Table 2 shows the sythesis results for trade-offs usig 1-BAAT (full sequetial), 5-BAAT, 10-BAAT ad 20-BAAT (full parallel). It shows the latecy as well as the area occupatio (as umber of slices) of the differet implemetatios o a FPGA VirtexE. Archit. Cycles Latecy Datapath Area AT-Product (s) (op.iputs) (slices) (slices*s) 1-BAAT BAAT BAAT BAAT BAAT Tabelle 2: Ivers pedulum cotroller: Sythesisresults 213

10 6 Coclusio We have preseted a tool for desig ad implemetatio of sigal processig applicatios based o the use of distributed arithmetic. After a short itroductio of the distributed arithmetic, we have show how to hadle real umbers i the IEEE 754 floatig-poit format. We have used the the framework for the implemetatio of two sigal processig applicatios as well as the ivestigatio of differet trade-offs. The results were preseted ad compariso to other implemetatio was also made. Future work icludes the itroductio of partial recofiguratio routie i the framework. This will help the user to easily mark the part of his applicatio which will be replaced at ru-time. The framework will the be used to geerate the partial cofiguratio which will be used to move from oe state of the device to the ext oe. Literatur [DBK03] Dae, K., Bobda, C., ud Kalte, H.: Ru-time exchage of mechatroic cotrollers usig partial hardware recofiguratio. I: Proc. of the Iteratioal Coferece o Field Programmable Logic ad Applicatios (FPL2003), Lisbo, Portugal. September [Mi00] [Wh89] [i95] Mizer, L.: Programmable silico for embedded sigal processig. Embedded Systems Programmig. S March White, S. A.: Applicatio of distributed arithmetic to digital sigal processig: A tutorial review. IEEE ASSP Magazie. S July ilix: A guide to usig field programmable gate arrays (fpgas) for applicatio-specific digital sigal processig performace [i00a] ilix: The role of distributed arithmetic desig i fpga-based sigal processig [i00b] ilix: Sparta-3 fpgas

Chapter 3 Classification of FFT Processor Algorithms

Chapter 3 Classification of FFT Processor Algorithms Chapter Classificatio of FFT Processor Algorithms The computatioal complexity of the Discrete Fourier trasform (DFT) is very high. It requires () 2 complex multiplicatios ad () complex additios [5]. As

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

Fast Fourier Transform (FFT) Algorithms

Fast Fourier Transform (FFT) Algorithms Fast Fourier Trasform FFT Algorithms Relatio to the z-trasform elsewhere, ozero, z x z X x [ ] 2 ~ elsewhere,, ~ e j x X x x π j e z z X X π 2 ~ The DFS X represets evely spaced samples of the z- trasform

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM MATEC Web of Cofereces 79, 01014 (016) DOI: 10.1051/ mateccof/0167901014 T 016 BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM Staislav Shidlovskiy 1, 1 Natioal Research

More information

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL Automatic Geeratio of Polyomial-Basis Multipliers i GF (2 ) usig Recursive VHDL J. Nelso, G. Lai, A. Teca Abstract Multiplicatio i GF (2 ) is very commoly used i the fields of cryptography ad error correctig

More information

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Pseudocode ( 1.1) High-level descriptio of a algorithm More structured

More information

How do we evaluate algorithms?

How do we evaluate algorithms? F2 Readig referece: chapter 2 + slides Algorithm complexity Big O ad big Ω To calculate ruig time Aalysis of recursive Algorithms Next time: Litterature: slides mostly The first Algorithm desig methods:

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime,

More information

EE123 Digital Signal Processing

EE123 Digital Signal Processing Last Time EE Digital Sigal Processig Lecture 7 Block Covolutio, Overlap ad Add, FFT Discrete Fourier Trasform Properties of the Liear covolutio through circular Today Liear covolutio with Overlap ad add

More information

Computer Systems - HS

Computer Systems - HS What have we leared so far? Computer Systems High Level ENGG1203 2d Semester, 2017-18 Applicatios Sigals Systems & Cotrol Systems Computer & Embedded Systems Digital Logic Combiatioal Logic Sequetial Logic

More information

Lecture 1: Introduction and Strassen s Algorithm

Lecture 1: Introduction and Strassen s Algorithm 5-750: Graduate Algorithms Jauary 7, 08 Lecture : Itroductio ad Strasse s Algorithm Lecturer: Gary Miller Scribe: Robert Parker Itroductio Machie models I this class, we will primarily use the Radom Access

More information

Neural Networks A Model of Boolean Functions

Neural Networks A Model of Boolean Functions Neural Networks A Model of Boolea Fuctios Berd Steibach, Roma Kohut Freiberg Uiversity of Miig ad Techology Istitute of Computer Sciece D-09596 Freiberg, Germay e-mails: steib@iformatik.tu-freiberg.de

More information

SPIRAL DSP Transform Compiler:

SPIRAL DSP Transform Compiler: SPIRAL DSP Trasform Compiler: Applicatio Specific Hardware Sythesis Peter A. Milder (peter.milder@stoybroo.edu) Fraz Frachetti, James C. Hoe, ad Marus Pueschel Departmet of ECE Caregie Mello Uiversity

More information

1. SWITCHING FUNDAMENTALS

1. SWITCHING FUNDAMENTALS . SWITCING FUNDMENTLS Switchig is the provisio of a o-demad coectio betwee two ed poits. Two distict switchig techiques are employed i commuicatio etwors-- circuit switchig ad pacet switchig. Circuit switchig

More information

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON Roberto Lopez ad Eugeio Oñate Iteratioal Ceter for Numerical Methods i Egieerig (CIMNE) Edificio C1, Gra Capitá s/, 08034 Barceloa, Spai ABSTRACT I this work

More information

Appendix A. Use of Operators in ARPS

Appendix A. Use of Operators in ARPS A Appedix A. Use of Operators i ARPS The methodology for solvig the equatios of hydrodyamics i either differetial or itegral form usig grid-poit techiques (fiite differece, fiite volume, fiite elemet)

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

FPGA IMPLEMENTATION OF BASE-N LOGARITHM. Salvador E. Tropea

FPGA IMPLEMENTATION OF BASE-N LOGARITHM. Salvador E. Tropea FPGA IMPLEMENTATION OF BASE-N LOGARITHM Salvador E. Tropea Electróica e Iformática Istituto Nacioal de Tecología Idustrial Bueos Aires, Argetia email: salvador@iti.gov.ar ABSTRACT I this work, we preset

More information

UNIVERSITY OF MORATUWA

UNIVERSITY OF MORATUWA UNIVERSITY OF MORATUWA FACULTY OF ENGINEERING DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING B.Sc. Egieerig 2014 Itake Semester 2 Examiatio CS2052 COMPUTER ARCHITECTURE Time allowed: 2 Hours Jauary 2016

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

Analysis of Algorithms

Analysis of Algorithms Aalysis of Algorithms Ruig Time of a algorithm Ruig Time Upper Bouds Lower Bouds Examples Mathematical facts Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite

More information

LU Decomposition Method

LU Decomposition Method SOLUTION OF SIMULTANEOUS LINEAR EQUATIONS LU Decompositio Method Jamie Traha, Autar Kaw, Kevi Marti Uiversity of South Florida Uited States of America kaw@eg.usf.edu http://umericalmethods.eg.usf.edu Itroductio

More information

One advantage that SONAR has over any other music-sequencing product I ve worked

One advantage that SONAR has over any other music-sequencing product I ve worked *gajedra* D:/Thomso_Learig_Projects/Garrigus_163132/z_productio/z_3B2_3D_files/Garrigus_163132_ch17.3d, 14/11/08/16:26:39, 16:26, page: 647 17 CAL 101 Oe advatage that SONAR has over ay other music-sequecig

More information

3D Model Retrieval Method Based on Sample Prediction

3D Model Retrieval Method Based on Sample Prediction 20 Iteratioal Coferece o Computer Commuicatio ad Maagemet Proc.of CSIT vol.5 (20) (20) IACSIT Press, Sigapore 3D Model Retrieval Method Based o Sample Predictio Qigche Zhag, Ya Tag* School of Computer

More information

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions FFT Circuit Desig Outlie Applicatios of FFT i Commuicatios Fudametal FFT Algorithms FFT Circuit Desig Architectures Coclusios DAB Receiver Tuer OFDM Demodulator Chael Decoder Mpeg Audio Decoder 56/5/ 4/48

More information

Chapter 3. Floating Point Arithmetic

Chapter 3. Floating Point Arithmetic COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 3 Floatig Poit Arithmetic Review - Multiplicatio 0 1 1 0 = 6 multiplicad 32-bit ALU shift product right multiplier add

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software Structurig Redudacy for Fault Tolerace CSE 598D: Fault Tolerat Software What do we wat to achieve? Versios Damage Assessmet Versio 1 Error Detectio Iputs Versio 2 Voter Outputs State Restoratio Cotiued

More information

Running Time. Analysis of Algorithms. Experimental Studies. Limitations of Experiments

Running Time. Analysis of Algorithms. Experimental Studies. Limitations of Experiments Ruig Time Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Most algorithms trasform iput objects ito output objects. The

More information

Running Time ( 3.1) Analysis of Algorithms. Experimental Studies. Limitations of Experiments

Running Time ( 3.1) Analysis of Algorithms. Experimental Studies. Limitations of Experiments Ruig Time ( 3.1) Aalysis of Algorithms Iput Algorithm Output A algorithm is a step- by- step procedure for solvig a problem i a fiite amout of time. Most algorithms trasform iput objects ito output objects.

More information

Analysis of Algorithms

Analysis of Algorithms Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Ruig Time Most algorithms trasform iput objects ito output objects. The

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

Data Structures and Algorithms. Analysis of Algorithms

Data Structures and Algorithms. Analysis of Algorithms Data Structures ad Algorithms Aalysis of Algorithms Outlie Ruig time Pseudo-code Big-oh otatio Big-theta otatio Big-omega otatio Asymptotic algorithm aalysis Aalysis of Algorithms Iput Algorithm Output

More information

Chapter 4 The Datapath

Chapter 4 The Datapath The Ageda Chapter 4 The Datapath Based o slides McGraw-Hill Additioal material 24/25/26 Lewis/Marti Additioal material 28 Roth Additioal material 2 Taylor Additioal material 2 Farmer Tae the elemets that

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

Linear Time-Invariant Systems

Linear Time-Invariant Systems 9/9/00 LIEAR TIE-IVARIAT SYSTES Uit, d Part Liear Time-Ivariat Sstems A importat class of discrete-time sstem cosists of those that are Liear Priciple of superpositio Time-ivariat dela of the iput sequece

More information

AN OPTIMIZATION NETWORK FOR MATRIX INVERSION

AN OPTIMIZATION NETWORK FOR MATRIX INVERSION 397 AN OPTIMIZATION NETWORK FOR MATRIX INVERSION Ju-Seog Jag, S~ Youg Lee, ad Sag-Yug Shi Korea Advaced Istitute of Sciece ad Techology, P.O. Box 150, Cheogryag, Seoul, Korea ABSTRACT Iverse matrix calculatio

More information

An Efficient Algorithm for Graph Bisection of Triangularizations

An Efficient Algorithm for Graph Bisection of Triangularizations A Efficiet Algorithm for Graph Bisectio of Triagularizatios Gerold Jäger Departmet of Computer Sciece Washigto Uiversity Campus Box 1045 Oe Brookigs Drive St. Louis, Missouri 63130-4899, USA jaegerg@cse.wustl.edu

More information

DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO

DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO Sagwo Seo, Trevor Mudge Advaced Computer Architecture Laboratory Uiversity of Michiga at A Arbor {swseo, tm}@umich.edu Yumig Zhu, Chaitali

More information

The isoperimetric problem on the hypercube

The isoperimetric problem on the hypercube The isoperimetric problem o the hypercube Prepared by: Steve Butler November 2, 2005 1 The isoperimetric problem We will cosider the -dimesioal hypercube Q Recall that the hypercube Q is a graph whose

More information

GPUMP: a Multiple-Precision Integer Library for GPUs

GPUMP: a Multiple-Precision Integer Library for GPUs GPUMP: a Multiple-Precisio Iteger Library for GPUs Kaiyog Zhao ad Xiaowe Chu Departmet of Computer Sciece, Hog Kog Baptist Uiversity Hog Kog, P. R. Chia Email: {kyzhao, chxw}@comp.hkbu.edu.hk Abstract

More information

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits Egieerig Letters, :, EL Reversible Realizatio of Quaterary Decoder, Multiplexer, ad Demultiplexer Circuits Mozammel H.. Kha, Member, ENG bstract quaterary reversible circuit is more compact tha the correspodig

More information

SCALABLE ARCHITECTURE FOR HIGH-SPEED MULTIDIMENSIONAL FUZZY INFERENCE SYSTEMS

SCALABLE ARCHITECTURE FOR HIGH-SPEED MULTIDIMENSIONAL FUZZY INFERENCE SYSTEMS Joural of Circuits, Systems, ad Computers World Scietific Publishig Compay SCALABLE ARCHITECTURE FOR HIGH-SPEED MULTIDIMENSIONAL FUZZY INFERENCE SYSTEMS INÉS DEL CAMPO* ad JAVIER ECHANOBE Departmet of

More information

Load balanced Parallel Prime Number Generator with Sieve of Eratosthenes on Cluster Computers *

Load balanced Parallel Prime Number Generator with Sieve of Eratosthenes on Cluster Computers * Load balaced Parallel Prime umber Geerator with Sieve of Eratosthees o luster omputers * Soowook Hwag*, Kyusik hug**, ad Dogseug Kim* *Departmet of Electrical Egieerig Korea Uiversity Seoul, -, Rep. of

More information

Efficient Hardware Design for Implementation of Matrix Multiplication by using PPI-SO

Efficient Hardware Design for Implementation of Matrix Multiplication by using PPI-SO Efficiet Hardware Desig for Implemetatio of Matrix Multiplicatio by usig PPI-SO Shivagi Tiwari, Niti Meea Dept. of EC, IES College of Techology, Bhopal, Idia Assistat Professor, Dept. of EC, IES College

More information

A Study on the Performance of Cholesky-Factorization using MPI

A Study on the Performance of Cholesky-Factorization using MPI A Study o the Performace of Cholesky-Factorizatio usig MPI Ha S. Kim Scott B. Bade Departmet of Computer Sciece ad Egieerig Uiversity of Califoria Sa Diego {hskim, bade}@cs.ucsd.edu Abstract Cholesky-factorizatio

More information

Isn t It Time You Got Faster, Quicker?

Isn t It Time You Got Faster, Quicker? Is t It Time You Got Faster, Quicker? AltiVec Techology At-a-Glace OVERVIEW Motorola s advaced AltiVec techology is desiged to eable host processors compatible with the PowerPC istructio-set architecture

More information

Outline and Reading. Analysis of Algorithms. Running Time. Experimental Studies. Limitations of Experiments. Theoretical Analysis

Outline and Reading. Analysis of Algorithms. Running Time. Experimental Studies. Limitations of Experiments. Theoretical Analysis Outlie ad Readig Aalysis of Algorithms Iput Algorithm Output Ruig time ( 3.) Pseudo-code ( 3.2) Coutig primitive operatios ( 3.3-3.) Asymptotic otatio ( 3.6) Asymptotic aalysis ( 3.7) Case study Aalysis

More information

Cubic Polynomial Curves with a Shape Parameter

Cubic Polynomial Curves with a Shape Parameter roceedigs of the th WSEAS Iteratioal Coferece o Robotics Cotrol ad Maufacturig Techology Hagzhou Chia April -8 00 (pp5-70) Cubic olyomial Curves with a Shape arameter MO GUOLIANG ZHAO YANAN Iformatio ad

More information

The Magma Database file formats

The Magma Database file formats The Magma Database file formats Adrew Gaylard, Bret Pikey, ad Mart-Mari Breedt Johaesburg, South Africa 15th May 2006 1 Summary Magma is a ope-source object database created by Chris Muller, of Kasas City,

More information

Formal Datapath Representation and Manipulation for Implementing DSP Transforms

Formal Datapath Representation and Manipulation for Implementing DSP Transforms Formal Datapath Represetatio ad Maipulatio for Implemetig DSP Trasforms Peter A. Milder, Fraz Frachetti, James C. Hoe, ad Markus Püschel Electrical ad Computer Egieerig Departmet Caregie Mello Uiversity

More information

Pattern Recognition Systems Lab 1 Least Mean Squares

Pattern Recognition Systems Lab 1 Least Mean Squares Patter Recogitio Systems Lab 1 Least Mea Squares 1. Objectives This laboratory work itroduces the OpeCV-based framework used throughout the course. I this assigmet a lie is fitted to a set of poits usig

More information

Project 2.5 Improved Euler Implementation

Project 2.5 Improved Euler Implementation Project 2.5 Improved Euler Implemetatio Figure 2.5.10 i the text lists TI-85 ad BASIC programs implemetig the improved Euler method to approximate the solutio of the iitial value problem dy dx = x+ y,

More information

An Efficient Algorithm for Graph Bisection of Triangularizations

An Efficient Algorithm for Graph Bisection of Triangularizations Applied Mathematical Scieces, Vol. 1, 2007, o. 25, 1203-1215 A Efficiet Algorithm for Graph Bisectio of Triagularizatios Gerold Jäger Departmet of Computer Sciece Washigto Uiversity Campus Box 1045, Oe

More information

Efficient Hough transform on the FPGA using DSP slices and block RAMs

Efficient Hough transform on the FPGA using DSP slices and block RAMs Efficiet Hough trasform o the FPGA usig DSP slices ad block RAMs Xi Zhou, Norihiro Tomagou, Yasuaki Ito, ad Koji Nakao Departmet of Iformatio Egieerig Hiroshima Uiversity Kagamiyama 1-4-1, Higashi Hiroshima,

More information

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs What are we goig to lear? CSC316-003 Data Structures Aalysis of Algorithms Computer Sciece North Carolia State Uiversity Need to say that some algorithms are better tha others Criteria for evaluatio Structure

More information

Filter design. 1 Design considerations: a framework. 2 Finite impulse response (FIR) filter design

Filter design. 1 Design considerations: a framework. 2 Finite impulse response (FIR) filter design Filter desig Desig cosideratios: a framework C ı p ı p H(f) Aalysis of fiite wordlegth effects: I practice oe should check that the quatisatio used i the implemetatio does ot degrade the performace of

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

Data diverse software fault tolerance techniques

Data diverse software fault tolerance techniques Data diverse software fault tolerace techiques Complemets desig diversity by compesatig for desig diversity s s limitatios Ivolves obtaiig a related set of poits i the program data space, executig the

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

Lecture 28: Data Link Layer

Lecture 28: Data Link Layer Automatic Repeat Request (ARQ) 2. Go ack N ARQ Although the Stop ad Wait ARQ is very simple, you ca easily show that it has very the low efficiecy. The low efficiecy comes from the fact that the trasmittig

More information

EE University of Minnesota. Midterm Exam #1. Prof. Matthew O'Keefe TA: Eric Seppanen. Department of Electrical and Computer Engineering

EE University of Minnesota. Midterm Exam #1. Prof. Matthew O'Keefe TA: Eric Seppanen. Department of Electrical and Computer Engineering EE 4363 1 Uiversity of Miesota Midterm Exam #1 Prof. Matthew O'Keefe TA: Eric Seppae Departmet of Electrical ad Computer Egieerig Uiversity of Miesota Twi Cities Campus EE 4363 Itroductio to Microprocessors

More information

The Simeck Family of Lightweight Block Ciphers

The Simeck Family of Lightweight Block Ciphers The Simeck Family of Lightweight Block Ciphers Gagqiag Yag, Bo Zhu, Valeti Suder, Mark D. Aagaard, ad Guag Gog Electrical ad Computer Egieerig, Uiversity of Waterloo Sept 5, 205 Yag, Zhu, Suder, Aagaard,

More information

Second-Order Domain Decomposition Method for Three-Dimensional Hyperbolic Problems

Second-Order Domain Decomposition Method for Three-Dimensional Hyperbolic Problems Iteratioal Mathematical Forum, Vol. 8, 013, o. 7, 311-317 Secod-Order Domai Decompositio Method for Three-Dimesioal Hyperbolic Problems Youbae Ju Departmet of Applied Mathematics Kumoh Natioal Istitute

More information

NON-LINEAR MODELLING OF A GEOTHERMAL STEAM PIPE

NON-LINEAR MODELLING OF A GEOTHERMAL STEAM PIPE 14thNew Zealad Workshop 1992 NON-LNEAR MODELLNG OF A GEOTHERMAL STEAM PPE Y. Huag ad D. H. Freesto Geothermal stitute, Uiversity of Aucklad SUMMARY Recet work o developig a o-liear model for a geothermal

More information

BOOLEAN MATHEMATICS: GENERAL THEORY

BOOLEAN MATHEMATICS: GENERAL THEORY CHAPTER 3 BOOLEAN MATHEMATICS: GENERAL THEORY 3.1 ISOMORPHIC PROPERTIES The ame Boolea Arithmetic was chose because it was discovered that literal Boolea Algebra could have a isomorphic umerical aspect.

More information

Algorithms for Disk Covering Problems with the Most Points

Algorithms for Disk Covering Problems with the Most Points Algorithms for Disk Coverig Problems with the Most Poits Bi Xiao Departmet of Computig Hog Kog Polytechic Uiversity Hug Hom, Kowloo, Hog Kog csbxiao@comp.polyu.edu.hk Qigfeg Zhuge, Yi He, Zili Shao, Edwi

More information

Bayesian approach to reliability modelling for a probability of failure on demand parameter

Bayesian approach to reliability modelling for a probability of failure on demand parameter Bayesia approach to reliability modellig for a probability of failure o demad parameter BÖRCSÖK J., SCHAEFER S. Departmet of Computer Architecture ad System Programmig Uiversity Kassel, Wilhelmshöher Allee

More information

Improved Random Graph Isomorphism

Improved Random Graph Isomorphism Improved Radom Graph Isomorphism Tomek Czajka Gopal Paduraga Abstract Caoical labelig of a graph cosists of assigig a uique label to each vertex such that the labels are ivariat uder isomorphism. Such

More information

Lecture 5. Counting Sort / Radix Sort

Lecture 5. Counting Sort / Radix Sort Lecture 5. Coutig Sort / Radix Sort T. H. Corme, C. E. Leiserso ad R. L. Rivest Itroductio to Algorithms, 3rd Editio, MIT Press, 2009 Sugkyukwa Uiversity Hyuseug Choo choo@skku.edu Copyright 2000-2018

More information

Analysis of Algorithms

Analysis of Algorithms Presetatio for use with the textbook, Algorithm Desig ad Applicatios, by M. T. Goodrich ad R. Tamassia, Wiley, 2015 Aalysis of Algorithms Iput 2015 Goodrich ad Tamassia Algorithm Aalysis of Algorithms

More information

The Penta-S: A Scalable Crossbar Network for Distributed Shared Memory Multiprocessor Systems

The Penta-S: A Scalable Crossbar Network for Distributed Shared Memory Multiprocessor Systems The Peta-S: A Scalable Crossbar Network for Distributed Shared Memory Multiprocessor Systems Abdulkarim Ayyad Departmet of Computer Egieerig, Al-Quds Uiversity, Jerusalem, P.O. Box 20002 Tel: 02-2797024,

More information

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui 2d Iteratioal Coferece o Electrical, Computer Egieerig ad Electroics (ICECEE 2015) Optimizatio for framework desig of ew product itroductio maagemet system Ma Yig, Wu Hogcui Tiaji Electroic Iformatio Vocatioal

More information

Primitive polynomials selection method for pseudo-random number generator

Primitive polynomials selection method for pseudo-random number generator Joural of hysics: Coferece Series AER OEN ACCESS rimitive polyomials selectio method for pseudo-radom umber geerator To cite this article: I V Aiki ad Kh Alajjar 08 J. hys.: Cof. Ser. 944 0003 View the

More information

FREQUENCY ESTIMATION OF INTERNET PACKET STREAMS WITH LIMITED SPACE: UPPER AND LOWER BOUNDS

FREQUENCY ESTIMATION OF INTERNET PACKET STREAMS WITH LIMITED SPACE: UPPER AND LOWER BOUNDS FREQUENCY ESTIMATION OF INTERNET PACKET STREAMS WITH LIMITED SPACE: UPPER AND LOWER BOUNDS Prosejit Bose Evagelos Kraakis Pat Mori Yihui Tag School of Computer Sciece, Carleto Uiversity {jit,kraakis,mori,y

More information

Improving Template Based Spike Detection

Improving Template Based Spike Detection Improvig Template Based Spike Detectio Kirk Smith, Member - IEEE Portlad State Uiversity petra@ee.pdx.edu Abstract Template matchig algorithms like SSE, Covolutio ad Maximum Likelihood are well kow for

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Single-Cycle Disadvantages & Advantages

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Single-Cycle Disadvantages & Advantages COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 4 The Processor Pipeliig Sigle-Cycle Disadvatages & Advatages Clk Uses the clock cycle iefficietly the clock cycle must

More information

Creating Exact Bezier Representations of CST Shapes. David D. Marshall. California Polytechnic State University, San Luis Obispo, CA , USA

Creating Exact Bezier Representations of CST Shapes. David D. Marshall. California Polytechnic State University, San Luis Obispo, CA , USA Creatig Exact Bezier Represetatios of CST Shapes David D. Marshall Califoria Polytechic State Uiversity, Sa Luis Obispo, CA 93407-035, USA The paper presets a method of expressig CST shapes pioeered by

More information

Baan Tools User Management

Baan Tools User Management Baa Tools User Maagemet Module Procedure UP008A US Documetiformatio Documet Documet code : UP008A US Documet group : User Documetatio Documet title : User Maagemet Applicatio/Package : Baa Tools Editio

More information

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 3 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov Sortig i Liear Time Data Structures ad Algorithms Adrei Bulatov Algorithms Sortig i Liear Time 7-2 Compariso Sorts The oly test that all the algorithms we have cosidered so far is compariso The oly iformatio

More information

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis

Redundancy Allocation for Series Parallel Systems with Multiple Constraints and Sensitivity Analysis IOSR Joural of Egieerig Redudacy Allocatio for Series Parallel Systems with Multiple Costraits ad Sesitivity Aalysis S. V. Suresh Babu, D.Maheswar 2, G. Ragaath 3 Y.Viaya Kumar d G.Sakaraiah e (Mechaical

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 18 Strategies for Query Processig Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio DBMS techiques to process a query Scaer idetifies

More information

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels:

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels: DBE Memo#1 MARK 5 MEMO #18 MASSACHUSETTS INSTITUTE OF TECHNOLOGY HAYSTACK OBSERVATORY WESTFORD, MASSACHUSETTS 1886 November 19, 24 Telephoe: 978-692-4764 Fax: 781-981-59 To: From: Mark 5 Developmet Group

More information

A General Framework for Accurate Statistical Timing Analysis Considering Correlations

A General Framework for Accurate Statistical Timing Analysis Considering Correlations A Geeral Framework for Accurate Statistical Timig Aalysis Cosiderig Correlatios 7.4 Vishal Khadelwal Departmet of ECE Uiversity of Marylad-College Park vishalk@glue.umd.edu Akur Srivastava Departmet of

More information

Lecturers: Sanjam Garg and Prasad Raghavendra Feb 21, Midterm 1 Solutions

Lecturers: Sanjam Garg and Prasad Raghavendra Feb 21, Midterm 1 Solutions U.C. Berkeley CS170 : Algorithms Midterm 1 Solutios Lecturers: Sajam Garg ad Prasad Raghavedra Feb 1, 017 Midterm 1 Solutios 1. (4 poits) For the directed graph below, fid all the strogly coected compoets

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 1 Computers ad Programs 1 Objectives To uderstad the respective roles of hardware ad software i a computig system. To lear what computer scietists

More information

APPLICATION NOTE. Automated Gain Flattening. 1. Experimental Setup. Scope and Overview

APPLICATION NOTE. Automated Gain Flattening. 1. Experimental Setup. Scope and Overview APPLICATION NOTE Automated Gai Flatteig Scope ad Overview A flat optical power spectrum is essetial for optical telecommuicatio sigals. This stems from a eed to balace the chael powers across large distaces.

More information

Civil Engineering Computation

Civil Engineering Computation Civil Egieerig Computatio Fidig Roots of No-Liear Equatios March 14, 1945 World War II The R.A.F. first operatioal use of the Grad Slam bomb, Bielefeld, Germay. Cotets 2 Root basics Excel solver Newto-Raphso

More information

ELEG 5173L Digital Signal Processing Introduction to TMS320C6713 DSK

ELEG 5173L Digital Signal Processing Introduction to TMS320C6713 DSK Departmet of Electrical Egieerig Uiversity of Arasas ELEG 5173L Digital Sigal Processig Itroductio to TMS320C6713 DSK Dr. Jigia Wu wuj@uar.edu ANALOG V.S DIGITAL 2 Aalog sigal processig ASP Aalog sigal

More information

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 12: Virtual Memory Prof. Yajig Li Uiversity of Chicago A System with Physical Memory Oly Examples: most Cray machies early PCs Memory early all embedded systems

More information

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved.

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved. Chapter 11 Frieds, Overloaded Operators, ad Arrays i Classes Copyright 2014 Pearso Addiso-Wesley. All rights reserved. Overview 11.1 Fried Fuctios 11.2 Overloadig Operators 11.3 Arrays ad Classes 11.4

More information

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two Give submodule mux32two: 2-to- MUX module mux32two (iput [3:] i,i, iput sel, output [3:] out); Module Istatiatio Fiite Machies esig methodology for sequetial logic -- idetify distict s -- create trasitio

More information

An Efficient Implementation Method of Fractal Image Compression on Dynamically Reconfigurable Architecture

An Efficient Implementation Method of Fractal Image Compression on Dynamically Reconfigurable Architecture A Efficiet Implemetatio Method of Fractal Image Compressio o Dyamically Recofigurable Architecture Hidehisa Nagao, Akihiro Matsuura, ad Akira Nagoya NTT Commuicatio Sciece Laboratories 2-4 Hikaridai, Seika-cho,

More information