EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

Size: px
Start display at page:

Download "EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control"

Transcription

1 EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime, Logic ad Computer Desig Fudametals, Pearso Pretice-Hall, Overview Cotrol ad Sequecig Algorithmic State Machie (ASM) Chart of Multiplier Hardwired cotrol Microprogrammed cotrol 2 1

2 Multiplier Example Example: (101 x 011) Partial products are: 101 x 0, 101 x 1, ad 101 x x Example (1 0 1) x (0 1 1) agai Reorgaizig to follow hardware algorithm: x Multiplicad (B) Multiplier (Q) Clear C A (Carry ad register A) Multiplier 0 = 1 => Add B Additio Shift Right (Zero-fill C) Multiplier 1 = 1 => Add B Additio Shift Right Multiplier 2 = 0 => No Add, Shift Right 2

3 Multiplier Example: Block Diagram log 2-1 Couter P IN Multiplicad Register B G (Go) Zero detect C out Parallel adder Cotrol uit 4 Z Q o Multiplier 0 C Shift register A Shift register Q Cotrol sigals Product OUT Multiplier Example: Operatio 1. The multiplicad is loaded ito register B. 2. The multiplier is loaded ito register Q. 3. Whe G becomes 1, register C A is iitialized to Dow Couter P is iitialized to 1 ( = umber of bits i multiplier) 5. The partial products are formed i register C A Q. 6. Each multiplier (Q) bit, begiig with the LSB, is processed (if bit is 1, B is added to partial product of A; if bit is 0, do othig) 7. C A Q is shifted right usig the shift register Partial product bits fill vacat locatios i Q as multiplier is shifted out If overflow durig additio, the outgoig carry is recovered from C durig the right shift 8. Steps 6 ad 7 are repeated util P = 0 as detected by Zero detect. 3

4 Multiplier Example: ASM Chart IDLE MUL0 0 1 G C 0, A 0 P Q 0 A A + B, C C out MUL1 C 0, C A Q sr C A Q, P P Z 7 Multiplier Example: ASM Chart (Cotd.) Three states are ecessary to implemet multiplier IDLE state: Iput G is used as the coditio for startig the multiplicatio C, A, ad P are iitialized MUL0 state: coditioal additio is performed based o the value of Q 0. MUL1 state: Right shift is performed to capture the partial product ad positio the ext bit of the multiplier i Q 0 Dow couter P = P - 1 P=0 is used to sese completio or cotiuatio of the multiplicatio. 4

5 Cotrol ad sequecig The ASM chart provides iformatio about Cotrol of the microoperatios (cotrol word) Sequecig of these operatios The desig ca be split up i two parts: Cotrol sigals Sequecig 9 Cotrol sigals for multiplier Iitialize Shift_dec - 1 Couter P IN Multiplicad Register B Load_B log 2 G (Go) Zero detect C out Parallel adder Cotrol uit Z Q o Load Multiplier 0 C Shift register A Shift register Q Load_Q 4 Cotrol sigals Clear_C Iitialize Shift_dec Product OUT 10 5

6 Multiplier Example: Cotrol Sigal Table Cotrol Sigals for Biary Multiplier Block diagram module Microoperatio Cotrol sigal ame Cotrol sigal expressio Register A : A 0 I itia liz e IDLE G A A + B Load MUL0 Q 0 C A Q sr C A Q Shift_dec M UL1 Register B : B IN Load_B LO ADB F lip-f lop C : C 0 C lea r _C IDLE G + MUL1 C C ou t Load Register Q : Q IN Load_Q LO ADQ C A Q sr C A Q Shift_dec Cou ter P : P 1 I itia liz e P P 1 Shift_dec 11 Multiplier Example: Cotrol Sigal Table (Cotd.) Sigals are defied o a register basis LOAD_Q ad LOAD_B: exteral sigals cotrolled from the system usig the multiplier ad will ot be cosidered a part of this desig Some cotrol sigals are reused for differet registers. Four cotrol sigals are the outputs of the cotrol uit: iitialize, load, shift_dec, clear_c 12 6

7 Multiplier Example Sequecig part of ASM With the outputs represeted by the table, they ca be removed from the ASM makig the ASM to represet oly the sequecig (ext state) behavior Simplified ASM chart. Similar to a state diagram/graph but without outputs specified. IDLE 00 0 G 1 MUL0 01 MUL Z 1 13 Overview Cotrol ad Sequecig Algorithmic State Machie (ASM) Chart of Multiplier Hardwired cotrol Microprogrammed cotrol 14 7

8 Cotrol Hardwired Cotrol Implemeted usig gates ad flip-flops Faster, less flexible, limited complexity Microprogram Cotrol Cotrol Store Memory storig cotrol sigals ad ext state ifo Cotroller sequeces through memory Slower, more flexible, greater complexity 15 Hardwired cotrol Cotrol Desig Methods (1) Sequetial circuit techiques; studied earlier i this course Procedure specializatios that use a sigle sigal to represet each state (2) Sequece Register ad Decoder Sequece register with ecoded states, e.g., 00, 01, 10, 11. Decoder outputs produce state sigals, e.g., 0001, 0010, 0100, (3) Oe Flip-Flop per State Flip-flop outputs as state sigals, e. g., 0001, 0010, 0100,

9 (2) Sequecer (sequece register) ad Decoder Use a register to represet the states ad a decoder to geerate a output sigal correspodig to each state Use the State Table to fid the iput logic Iput Logic 17 Multiplier Example: Sequecer ad Decoder Desig - Specificatio Defie: States: IDLE, MUL0, MUL1 Iput Sigals: G, Z, Q 0 (Q 0 affects outputs, ot ext state) Output Sigals: Iitialize, Load, Shift_Dec, Clear_C State Trasitio Diagram (Use Sequecig ASM) Output Fuctio: Use Cotrol Sigal Table Decide o type of flip-flops to use Fid: State Assigmets Use two state bits to ecode the three states IDLE, MUL0, ad MUL1. State M1 M0 IDLE 0 0 MUL0 0 1 MUL1 1 0 Uused

10 Multiplier Example: Sequecer ad Decoder Desig - Formulatio Assumig that state variables M1 ad M0 are decoded ito states, the ext state part of the State Table is: Curret State Iput G Z Next State M1 M0 IDLE IDLE IDLE IDLE MUL MUL MUL MUL Curret State Iput G Z Next State M1 M0 MUL MUL MUL MUL Uused 0 0 d d Uused 0 1 d d Uused 1 0 d d Uused 1 1 d d 19 State Table with Decoder Outputs 20 10

11 Multiplier Example: Sequecer ad Decoder Desig - Equatios Derivatio/Optimizatio Fidig the equatios for M1 ad M0 usig decoded states: M1 = MUL0 M0 = IDLE G + MUL1 Z The output equatios usig the decoded states: Iitialize = IDLE G Load = MUL0 Q 0 Clear_C = IDLE G + MUL1 Shift_dec = MUL1 Doig multiple level optimizatio, extract IDLE G: START = IDLE G M1 = MUL0 M0 = START + MUL1 Z Iitialize = START Load = MUL0 Q 0 Clear_C = START + MUL1 Shift_dec = MUL1 The resultig circuit usig flip-flops, a decoder, ad the above equatios is give o the ext slide. 21 Multiplier Example: Sequecer ad Decoder Desig - Implemetatio G START D M 0 Iitialize Clear_C Z C DECODER A A1 3 IDLE MUL0 MUL1 Shift_dec M 1 D C Q 0 Load 22 11

12 --Biary multiplier with =4 library ieee; use ieee.std_logic_usiged.all; etity biary_multiplier is port(clk, RESET, G, LOADB, LOADQ : i std_logic; MULT_IN : i std_logic_vector (3 dowto 0); MULT_OUT : out std_logic_vector (7 dowto 0)); ed biary_multiplier; architecture behavior_4 of biary_multiplier is type state_type is (IDLE, MUL0, MUL1); variable P :=3; sigal state, ext_state : state_type; sigal A, B, Q : std_logic_vector(3 dowto 0); sigal C, Z : std_logic; begi Z <= P(1) NOR P(0); MULT_OUT <= A & Q; state_register : process (CLK, RESET) begi if (RESET = '1') the state <= IDLE; elsif (CLK'evet ad CLK='1') the state <= ext_state; edif; ed process; ext_state_fuc : process (G, Z, state) begi case state is whe IDLE => if G='1' the ext_state <= MUL0; else ext_state <= IDLE; ed if; whe MUL0 => ext_state <= MUL1; whe MUL1 => if Z='1' the ext_state <= IDLE; else ext_state <= MUL0; ed if; ed case; ed process; VHDL code: behavioral 3 processes datapath_fuc : process (CLK) variable CA : std_logic_vector (4 dowto 0); begi if (CLK'evet ad CLK='1') the if LOADB='1' the B <= MULT_IN; ed if; if LOADQ = '1' the Q <= MULT_IN; ed if; case state is whe IDLE => if G = '1' the C <= '0'; A <= "0000"; P <= "11"; ed if; whe MUL0 => if Q(0) ='1' the CA := ('0' & A) + ('0' & B); else CA := C & A; ed if; C <= CA(4); A <= CA(3 dowto 0); whe MUL1 => C <= '0'; A <= C & A(3 dowto 1); Q <= A(0) & Q(3 dowto 1); P <= P - "01"; ed case; ed if; ed process; ed behavior_4; 23 VHDL code: structural Homework assigmet #5: Write VHDL descriptio of structural architecture similar to the structural descriptio of the architecture from Example 2, Implemetatio 2 of Lab #4. Create a testbech ad simulate i Aldec-HDL. Report should cotai: title, ame, brief descriptio, VHDL code (with ice idetatio ad useful commets throughout the code), simulatio waveforms (black o white ad horizotal). Report should be a sigle PDF file amed hw5_yourfirstname_yourlastname.pdf 24 12

13 (3) Oe Flip-Flop per State This method uses oe flip-flop per state ad a simple set of trasformatio rules to implemet the circuit. The desig starts with the ASM chart, ad replaces 1. State Boxes with flip-flops, 2. Scalar Decisio Boxes with a demultiplexer with 2 outputs, 3. Vector Decisio Boxes with a (partial) demultiplexer, 4. Juctios with a OR gate, ad 5. Coditioal Outputs with AND gates. More flip-flops eeded tha i previous method 25 State box ad Scalar decisio box trasformatios 13

14 Vector decisio box trasformatio Each Decisio box trasforms to a Demultiplexer Etry poit is Eable iputs The Coditios are the Select iputs Demultiplexer Outputs are the Exit poits (Biary Vector Values) (Vector of Iput Coditios) X1, X0 (Biary Vector Values) 10 DEMUX Etry EN D0 Exit 0 X1 A1 D1 Exit 1 X0 A0 D2 D3 Exit2 Exit 3 Juctio trasformatio, Coditioal output box trasformatio 14

15 Logic Diagram Overview Cotrol ad Sequecig Algorithmic State Machie (ASM) Chart of Multiplier Hardwired cotrol Microprogrammed cotrol 15

16 Datapath + Cotrol uit/path Datapath - performs data trasfer ad processig operatios Cotrol uit/path - determies the eablig ad sequecig of the operatios Status sigals Describe properties of the state of the datapath Cotrol iputs Cotrol uit Cotrol sigals Datapath Data outputs Cotrol outputs Data iputs Datapath + Cotrol uit/path Datapath: Registers MUXes, ALUs, Shifters, Combiatioal Circuits ad Buses Implemets microoperatios (uder cotrol of the cotrol uit) Cotrol uit: Selects the microoperatio Determies the sequece (based o status ad iput sigals) Desig: State diagram or ASM Microoperatios Sequece 16

17 Multiplier Example Microprogrammed Cotrol Is a cotrol uit whose cotrol words are stored i memory, called cotrol memory. A cotrol word cotais a microistructio that specifies oe or more microoperatios. A sequece of microistructios is called a microprogram. A microprogram is stored i ROM (thus fixed) or i RAM (called writable cotrol memory). 17

18 Cotrol Uit Orgaizatio Microprogrammed Cotrol The Cotrol Data Register (CDR) is optioal: Allows higher clock frequecies (pipeliig) Makes the sequecig more complicated for decisios based o status bits If the CDR is omitted: The oly register i the cotrol uit is the Cotrol Address Register (CAR) The memory ad ext-address geerator are combiatioal Thus the state of the cotrol uit is give by the cotets of the CAR New cotrol data will appear at the output of the memory as log as the address is applied 18

19 Microprogrammed Cotrol The ext address (determiig the ext istructio of the ew state) is fuctio of the ext-address bits ad the STATUS sigals/bits. The way we desiged the cotrol uit, the status bits ca oly affect the ext address (thus ext state). [ote: status bits do ot cotrol the datapath directly] Thus status bits caot directly affect the output or cause a register trasfer operatio (except by affectig the address). This meas that the sequetial circuit of the cotrol uit must be a Moore type. ASM of the Cotrol Uit Moore type circuit: No coditioal output boxes allowed Replace the coditioal output boxes by states Additioal states are required for the same hardware algorithm Also, oly oe decisio box betwee states preferred (for simple ext address geeratio) 19

20 ASM of the Cotrol Uit 39 ASM of the Cotrol Uit Two additioal states: INIT, ADD Total of 5 states eeded 20

21 Desig of the Cotrol Uit To desig the (micro)sequecer for the multiplier ad the microprogram we eed to determie: The bits i the cotrol word The size of the Cotrol memory (ROM) The size of the Cotrol Address Register (CAR) Next-address geerator structure Cotrol word Sequecer Cotrol Sigals ad Datapath Use the same Datapath: - 1 Couter P IN Multiplicad Register B Load_B log 2 We eed four cotrol sigals: Iitialize Load Clear_C Shift_Dec Status bits: Qo, Z Zero detect Z Q o C out Parallel adder Multiplier 0 C Shift register A Shift register Q Clear_C Iitialize Shift_dec Load Product OUT Load_Q 42 21

22 Cotrol Sigals ad Register Trasfers From the datapath ad ASM oe fids the register trasfers iitiated by the cotrol sigals. From the ASM oe fids the states i which the sigals are active 43 Cotrol Sigals, Cotrol Word Format Four cotrol sigals eeded. We ca use these sigals as is or ecode them to reduce the umber of bits eeded i the cotrol word. If we do ot ecode these: 4 bits eeded Iitialize 0001 Load 0010 ClearC 0100 Shift/Dec

23 Sequecer The sequecig is determied by the ASM chart First, determie the sequecig requiremets: IDLE: ext state fuctio of G MUL0: ext state fuctio of Qo MUL1: ext state fuctio of Z We eed a pair of addresses to direct to the ext state depedig o the values of the status or iput sigals SEL determies which ext address to use 45 Cotrol Sequeces for the micro operatios based o decisio boxes i the ASM chart: 46 23

24 SEL Field defiitio ad Code i the Cotrol Word 47 Desig of Cotrol Uit ROM size: Word legth: 12 bits (cotrol word) Size: 5 storage locatio, oe for each state Address bits: 3 bits to address 5 locatios CAR is 3 bits wide The address loaded i the CAR: Comes from the ext-address ifo i the microistructio: NXTADD0 or NXTADD1 24

25 Microprogrammed Cotrol Uit 49 Register Trasfer Descriptio of the Microprogram Each memory locatio cotais a microistructio, to be executed i the correspodig state. The register trasfer statemets are: 25

26 Symbolic Microprogram The above Register Trasfer Operatio ca be traslated ito a symbolic microprogram (cotrol words): Example: address IDLE: G: CAR INIT, G : CAR IDLE Ca be writte as: 51 Symbolic Microprogram 52 26

27 Biary Microprogram Similar for the other istructios: 53 VHDL code Homework assigmet #6: Write VHDL structural descriptio of this multiplier (slide #49) usig the microprogrammed approach for the cotrol uit. Use a ROM as studied i Lab #5. Create a testbech ad simulate i Aldec-HDL. Report should cotai: title, ame, brief descriptio, VHDL code (with ice idetatio ad useful commets throughout the code), simulatio waveforms (black o white ad horizotal). Report should be a sigle PDF file amed hw6_yourfirstname_yourlastname.pdf 54 27

28 Summary Iteractio betwee datapaths ad cotrol uits Two types of cotrol uits: No-programmed Programmed Two implemetatio approaches for Hardwired Cotrol (o-programmed): Sequece Register ad Decoder Oe Flip-Flop per state Use of ASM to specify cotrol fuctios: Microoperatios Sequece of operatios Microprogrammed cotrol is a more structured approach for complex systems 55 Appedix A: Speedig Up the Multiplier I processig each bit of the multiplier, the circuit visits states MUL0 ad MUL1 i sequece. By redesigig the multiplier, is it possible to visit oly a sigle state per bit processed? 56 28

29 Speedig Up Multiply (Cotd.) The operatios i MUL0 ad MUL1: I MUL0, a coditioal add of B I MUL1, a right shift of C A Q i a shift register, the decremetig of P, ad a test for P = 0 (o the old value of P) Ay solutio that uses oe state must combie all of the operatios listed ito oe state The operatios ivolvig P are already doe i a sigle state, so ot a problem. The right shift, however, depeds o the result of the coditioal additio. So these two operatios must be combied! 57 Speedig Up Multiply (Cotd.) By replacig the shift register with a combiatioal shifter ad combiig the adder ad shifter, the states ca be merged. IDLE 0 1 G The C-bit is o loger eeded. I this case, Z ad Q 0 have bee made ito a vector. A Q sr C out (A + 0) Q MUL A 0 P 1 P P 1 Z Q 0 A Q sr C out (A + 0) Q A Q sr C out (A + B) Q A Q sr C out (A+ B) Q 58 29

Description of Single Cycle Computer (SCC)

Description of Single Cycle Computer (SCC) Descriptio of Sigle Cycle Computer (SCC) Refereces: Chapter 9 of M. Morris Mao ad Charles Kime, Logic ad Computer Desig Fudametals, Pearso Pretice Hall, 4 th Editio, 28. Overview Part Datapaths Itroductio

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated.

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated. 8-1 Name Binary code IDLE 000 Register operation or output R 0 RUN 0 1 Condition (a) State box (b) Example of state box (c) Decision box IDLE R 0 From decision box 0 1 START Register operation or output

More information

Chapter 5: Processor Design Advanced Topics. Microprogramming: Basic Idea

Chapter 5: Processor Design Advanced Topics. Microprogramming: Basic Idea 5-1 Chapter 5 Processor Desig Advaced Topics Chapter 5: Processor Desig Advaced Topics Topics 5.3 Microprogrammig Cotrol store ad microbrachig Horizotal ad vertical microprogrammig 5- Chapter 5 Processor

More information

Chapter 4 The Datapath

Chapter 4 The Datapath The Ageda Chapter 4 The Datapath Based o slides McGraw-Hill Additioal material 24/25/26 Lewis/Marti Additioal material 28 Roth Additioal material 2 Taylor Additioal material 2 Farmer Tae the elemets that

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 3 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

Microprogrammed Control

Microprogrammed Control Calcolatori Elettroici e Sistemi Operativi Microprogrammed Microprogrammed Iputs (state) Status sigals (from datapath) NS = δ(s,i) = ((S),I) O = λ(s) Next state Outputs sigals (to datapath) Microprogrammed

More information

CMSC Computer Architecture Lecture 3: ISA and Introduction to Microarchitecture. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 3: ISA and Introduction to Microarchitecture. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 3: ISA ad Itroductio to Microarchitecture Prof. Yajig Li Uiversity of Chicago Lecture Outlie ISA uarch (hardware implemetatio of a ISA) Logic desig basics Sigle-cycle

More information

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Control Unit: Binary Multiplier Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Example: Binary Multiplier Two versions Hardwired control Microprogrammed

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Single-Cycle Disadvantages & Advantages

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Single-Cycle Disadvantages & Advantages COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 4 The Processor Pipeliig Sigle-Cycle Disadvatages & Advatages Clk Uses the clock cycle iefficietly the clock cycle must

More information

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated.

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated. 8-1 Name Binary code IDLE 000 Register operation or output R 0 RUN Condition (a) State box (b) Example of state box (c) Decision box IDLE R 0 From decision box START Register operation or output PC 0 (d)

More information

Behavioral Modeling in Verilog

Behavioral Modeling in Verilog Behavioral Modelig i Verilog COE 202 Digital Logic Desig Dr. Muhamed Mudawar Kig Fahd Uiversity of Petroleum ad Mierals Presetatio Outlie Itroductio to Dataflow ad Behavioral Modelig Verilog Operators

More information

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two Give submodule mux32two: 2-to- MUX module mux32two (iput [3:] i,i, iput sel, output [3:] out); Module Istatiatio Fiite Machies esig methodology for sequetial logic -- idetify distict s -- create trasitio

More information

Chapter 3 Classification of FFT Processor Algorithms

Chapter 3 Classification of FFT Processor Algorithms Chapter Classificatio of FFT Processor Algorithms The computatioal complexity of the Discrete Fourier trasform (DFT) is very high. It requires () 2 complex multiplicatios ad () complex additios [5]. As

More information

Digital System Design

Digital System Design July, 22 9:55 vra235_ch Sheet umber Page umber 65 black chapter Digital System Desig a b c d e f g h 8 7 6 5 4 3 2. Bd3 g6+, Ke8 d8 65 July, 22 9:55 vra235_ch Sheet umber 2 Page umber 66 black 66 CHAPTER

More information

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 12: Virtual Memory Prof. Yajig Li Uiversity of Chicago A System with Physical Memory Oly Examples: most Cray machies early PCs Memory early all embedded systems

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

L6: FSMs and Synchronization

L6: FSMs and Synchronization L6: FSMs ad Sychroizatio Ackowledgemets: Materials i this lecture are courtesy of the followig sources ad are used with permissio. Rex Mi J. Rabaey, A. Chadrakasa, B. Nikolic. igital Itegrated Circuits:

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 1 Computers ad Programs 1 Objectives To uderstad the respective roles of hardware ad software i a computig system. To lear what computer scietists

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

Chapter 9. Pointers and Dynamic Arrays. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 9. Pointers and Dynamic Arrays. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 9 Poiters ad Dyamic Arrays Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 9.1 Poiters 9.2 Dyamic Arrays Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Slide 9-3

More information

EE University of Minnesota. Midterm Exam #1. Prof. Matthew O'Keefe TA: Eric Seppanen. Department of Electrical and Computer Engineering

EE University of Minnesota. Midterm Exam #1. Prof. Matthew O'Keefe TA: Eric Seppanen. Department of Electrical and Computer Engineering EE 4363 1 Uiversity of Miesota Midterm Exam #1 Prof. Matthew O'Keefe TA: Eric Seppae Departmet of Electrical ad Computer Egieerig Uiversity of Miesota Twi Cities Campus EE 4363 Itroductio to Microprocessors

More information

COMP Parallel Computing. PRAM (1): The PRAM model and complexity measures

COMP Parallel Computing. PRAM (1): The PRAM model and complexity measures COMP 633 - Parallel Computig Lecture 2 August 24, 2017 : The PRAM model ad complexity measures 1 First class summary This course is about parallel computig to achieve high-er performace o idividual problems

More information

1. SWITCHING FUNDAMENTALS

1. SWITCHING FUNDAMENTALS . SWITCING FUNDMENTLS Switchig is the provisio of a o-demad coectio betwee two ed poits. Two distict switchig techiques are employed i commuicatio etwors-- circuit switchig ad pacet switchig. Circuit switchig

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

Lecture 1: Introduction and Strassen s Algorithm

Lecture 1: Introduction and Strassen s Algorithm 5-750: Graduate Algorithms Jauary 7, 08 Lecture : Itroductio ad Strasse s Algorithm Lecturer: Gary Miller Scribe: Robert Parker Itroductio Machie models I this class, we will primarily use the Radom Access

More information

Chapter 3. Floating Point Arithmetic

Chapter 3. Floating Point Arithmetic COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 3 Floatig Poit Arithmetic Review - Multiplicatio 0 1 1 0 = 6 multiplicad 32-bit ALU shift product right multiplier add

More information

Computer Architecture. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Computer Architecture. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Computer rchitecture Microcomputer rchitecture ad Iterfacig Colorado School of Mies Professor William Hoff Computer Hardware Orgaizatio Processor Performs all computatios; coordiates data trasfer Iput

More information

How do we evaluate algorithms?

How do we evaluate algorithms? F2 Readig referece: chapter 2 + slides Algorithm complexity Big O ad big Ω To calculate ruig time Aalysis of recursive Algorithms Next time: Litterature: slides mostly The first Algorithm desig methods:

More information

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization Ed Semester Examiatio 2013-14 CSE, III Yr. (I Sem), 30002: Computer Orgaizatio Istructios: GROUP -A 1. Write the questio paper group (A, B, C, D), o frot page top of aswer book, as per what is metioed

More information

Fast Fourier Transform (FFT) Algorithms

Fast Fourier Transform (FFT) Algorithms Fast Fourier Trasform FFT Algorithms Relatio to the z-trasform elsewhere, ozero, z x z X x [ ] 2 ~ elsewhere,, ~ e j x X x x π j e z z X X π 2 ~ The DFS X represets evely spaced samples of the z- trasform

More information

Basic allocator mechanisms The course that gives CMU its Zip! Memory Management II: Dynamic Storage Allocation Mar 6, 2000.

Basic allocator mechanisms The course that gives CMU its Zip! Memory Management II: Dynamic Storage Allocation Mar 6, 2000. 5-23 The course that gives CM its Zip Memory Maagemet II: Dyamic Storage Allocatio Mar 6, 2000 Topics Segregated lists Buddy system Garbage collectio Mark ad Sweep Copyig eferece coutig Basic allocator

More information

Introduction to Computing Systems: From Bits and Gates to C and Beyond 2 nd Edition

Introduction to Computing Systems: From Bits and Gates to C and Beyond 2 nd Edition Lecture Goals Itroductio to Computig Systems: From Bits ad Gates to C ad Beyod 2 d Editio Yale N. Patt Sajay J. Patel Origial slides from Gregory Byrd, North Carolia State Uiversity Modified slides by

More information

UNIVERSITY OF MORATUWA

UNIVERSITY OF MORATUWA UNIVERSITY OF MORATUWA FACULTY OF ENGINEERING DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING B.Sc. Egieerig 2014 Itake Semester 2 Examiatio CS2052 COMPUTER ARCHITECTURE Time allowed: 2 Hours Jauary 2016

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 22 Database Recovery Techiques Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Recovery algorithms Recovery cocepts Write-ahead

More information

Heaps. Presentation for use with the textbook Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015

Heaps. Presentation for use with the textbook Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015 Presetatio for use with the textbook Algorithm Desig ad Applicatios, by M. T. Goodrich ad R. Tamassia, Wiley, 201 Heaps 201 Goodrich ad Tamassia xkcd. http://xkcd.com/83/. Tree. Used with permissio uder

More information

Data diverse software fault tolerance techniques

Data diverse software fault tolerance techniques Data diverse software fault tolerace techiques Complemets desig diversity by compesatig for desig diversity s s limitatios Ivolves obtaiig a related set of poits i the program data space, executig the

More information

TABLE 8-1. Control Signals for Binary Multiplier. Load. MUL0 Q 0 CAQ sr CAQ. Shift_dec. C out. Load LOADQ. CAQ sr CAQ. Shift_dec P P 1.

TABLE 8-1. Control Signals for Binary Multiplier. Load. MUL0 Q 0 CAQ sr CAQ. Shift_dec. C out. Load LOADQ. CAQ sr CAQ. Shift_dec P P 1. T-192 Control Signals for Binary Multiplier TABLE 8-1 Control Signals for Binary Multiplier Block Diagram Module Microoperation Control Signal Name Control Expression Register A: A 0 Initialize IDLE G

More information

Threads and Concurrency in Java: Part 1

Threads and Concurrency in Java: Part 1 Cocurrecy Threads ad Cocurrecy i Java: Part 1 What every computer egieer eeds to kow about cocurrecy: Cocurrecy is to utraied programmers as matches are to small childre. It is all too easy to get bured.

More information

Solutions to Final COMS W4115 Programming Languages and Translators Monday, May 4, :10-5:25pm, 309 Havemeyer

Solutions to Final COMS W4115 Programming Languages and Translators Monday, May 4, :10-5:25pm, 309 Havemeyer Departmet of Computer ciece Columbia Uiversity olutios to Fial COM W45 Programmig Laguages ad Traslators Moday, May 4, 2009 4:0-5:25pm, 309 Havemeyer Closed book, o aids. Do questios 5. Each questio is

More information

Threads and Concurrency in Java: Part 1

Threads and Concurrency in Java: Part 1 Threads ad Cocurrecy i Java: Part 1 1 Cocurrecy What every computer egieer eeds to kow about cocurrecy: Cocurrecy is to utraied programmers as matches are to small childre. It is all too easy to get bured.

More information

Chapter 5. Functions for All Subtasks. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 5. Functions for All Subtasks. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 5 Fuctios for All Subtasks Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 5.1 void Fuctios 5.2 Call-By-Referece Parameters 5.3 Usig Procedural Abstractio 5.4 Testig ad Debuggig

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 18 Strategies for Query Processig Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio DBMS techiques to process a query Scaer idetifies

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13 CIS Data Structures ad Algorithms with Java Sprig 08 Stacks ad Queues Moday, February / Tuesday, February Learig Goals Durig this lab, you will: Review stacks ad queues. Lear amortized ruig time aalysis

More information

Chapter 10. Defining Classes. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 10. Defining Classes. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 10 Defiig Classes Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 10.1 Structures 10.2 Classes 10.3 Abstract Data Types 10.4 Itroductio to Iheritace Copyright 2015 Pearso Educatio,

More information

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits

Reversible Realization of Quaternary Decoder, Multiplexer, and Demultiplexer Circuits Egieerig Letters, :, EL Reversible Realizatio of Quaterary Decoder, Multiplexer, ad Demultiplexer Circuits Mozammel H.. Kha, Member, ENG bstract quaterary reversible circuit is more compact tha the correspodig

More information

Chapter 4. Procedural Abstraction and Functions That Return a Value. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 4. Procedural Abstraction and Functions That Return a Value. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 4 Procedural Abstractio ad Fuctios That Retur a Value Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 4.1 Top-Dow Desig 4.2 Predefied Fuctios 4.3 Programmer-Defied Fuctios 4.4

More information

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved.

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved. Chapter 11 Frieds, Overloaded Operators, ad Arrays i Classes Copyright 2014 Pearso Addiso-Wesley. All rights reserved. Overview 11.1 Fried Fuctios 11.2 Overloadig Operators 11.3 Arrays ad Classes 11.4

More information

MOTIF XF Extension Owner s Manual

MOTIF XF Extension Owner s Manual MOTIF XF Extesio Ower s Maual Table of Cotets About MOTIF XF Extesio...2 What Extesio ca do...2 Auto settig of Audio Driver... 2 Auto settigs of Remote Device... 2 Project templates with Iput/ Output Bus

More information

The number n of subintervals times the length h of subintervals gives length of interval (b-a).

The number n of subintervals times the length h of subintervals gives length of interval (b-a). Simulator with MadMath Kit: Riema Sums (Teacher s pages) I your kit: 1. GeoGebra file: Ready-to-use projector sized simulator: RiemaSumMM.ggb 2. RiemaSumMM.pdf (this file) ad RiemaSumMMEd.pdf (educator's

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 6 Defiig Fuctios Pytho Programmig, 2/e 1 Objectives To uderstad why programmers divide programs up ito sets of cooperatig fuctios. To be able to

More information

Computers and Scientific Thinking

Computers and Scientific Thinking Computers ad Scietific Thikig David Reed, Creighto Uiversity Chapter 15 JavaScript Strigs 1 Strigs as Objects so far, your iteractive Web pages have maipulated strigs i simple ways use text box to iput

More information

EE123 Digital Signal Processing

EE123 Digital Signal Processing Last Time EE Digital Sigal Processig Lecture 7 Block Covolutio, Overlap ad Add, FFT Discrete Fourier Trasform Properties of the Liear covolutio through circular Today Liear covolutio with Overlap ad add

More information

Algorithm Design Techniques. Divide and conquer Problem

Algorithm Design Techniques. Divide and conquer Problem Algorithm Desig Techiques Divide ad coquer Problem Divide ad Coquer Algorithms Divide ad Coquer algorithm desig works o the priciple of dividig the give problem ito smaller sub problems which are similar

More information

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software Structurig Redudacy for Fault Tolerace CSE 598D: Fault Tolerat Software What do we wat to achieve? Versios Damage Assessmet Versio 1 Error Detectio Iputs Versio 2 Voter Outputs State Restoratio Cotiued

More information

COP4020 Programming Languages. Functional Programming Prof. Robert van Engelen

COP4020 Programming Languages. Functional Programming Prof. Robert van Engelen COP4020 Programmig Laguages Fuctioal Programmig Prof. Robert va Egele Overview What is fuctioal programmig? Historical origis of fuctioal programmig Fuctioal programmig today Cocepts of fuctioal programmig

More information

One advantage that SONAR has over any other music-sequencing product I ve worked

One advantage that SONAR has over any other music-sequencing product I ve worked *gajedra* D:/Thomso_Learig_Projects/Garrigus_163132/z_productio/z_3B2_3D_files/Garrigus_163132_ch17.3d, 14/11/08/16:26:39, 16:26, page: 647 17 CAL 101 Oe advatage that SONAR has over ay other music-sequecig

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 20 Itroductio to Trasactio Processig Cocepts ad Theory Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Trasactio Describes local

More information

Τεχνολογία Λογισμικού

Τεχνολογία Λογισμικού ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τεχνολογία Λογισμικού, 7ο/9ο εξάμηνο 2018-2019 Τεχνολογία Λογισμικού Ν.Παπασπύρου, Αν.Καθ. ΣΗΜΜΥ, ickie@softlab.tua,gr

More information

Custom single-purpose processors: Hardware. 4.1 Introduction. 4.2 Combinational logic design 4-1

Custom single-purpose processors: Hardware. 4.1 Introduction. 4.2 Combinational logic design 4-1 Chapter 4: Custom sigle-purpose processors: Hardware 4- Chapter 4 Custom sigle-purpose processors: Hardware 4. Itroductio As metioed i the previous chapter, a sigle-purpose processor is a digital sstem

More information

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5.

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5. Morga Kaufma Publishers 26 February, 208 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 5 Virtual Memory Review: The Memory Hierarchy Take advatage of the priciple

More information

A Generalized Set Theoretic Approach for Time and Space Complexity Analysis of Algorithms and Functions

A Generalized Set Theoretic Approach for Time and Space Complexity Analysis of Algorithms and Functions Proceedigs of the 10th WSEAS Iteratioal Coferece o APPLIED MATHEMATICS, Dallas, Texas, USA, November 1-3, 2006 316 A Geeralized Set Theoretic Approach for Time ad Space Complexity Aalysis of Algorithms

More information

Lecture 11: PI/T parallel I/O, part I

Lecture 11: PI/T parallel I/O, part I Lecture 11: PI/T parallel I/O, part I Geeral descriptio of the parallel I/O fuctio Bufferi Hadshaki Iput ad Output trasfers Timi Diarams Reister model of the 68230 Port Geeral Cotrol Reister (PGCR) Port

More information

Examples and Applications of Binary Search

Examples and Applications of Binary Search Toy Gog ITEE Uiersity of Queeslad I the secod lecture last week we studied the biary search algorithm that soles the problem of determiig if a particular alue appears i a sorted list of iteger or ot. We

More information

Avid Interplay Bundle

Avid Interplay Bundle Avid Iterplay Budle Versio 2.5 Cofigurator ReadMe Overview This documet provides a overview of Iterplay Budle v2.5 ad describes how to ru the Iterplay Budle cofiguratio tool. Iterplay Budle v2.5 refers

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

Lecturers: Sanjam Garg and Prasad Raghavendra Feb 21, Midterm 1 Solutions

Lecturers: Sanjam Garg and Prasad Raghavendra Feb 21, Midterm 1 Solutions U.C. Berkeley CS170 : Algorithms Midterm 1 Solutios Lecturers: Sajam Garg ad Prasad Raghavedra Feb 1, 017 Midterm 1 Solutios 1. (4 poits) For the directed graph below, fid all the strogly coected compoets

More information

Lecture 5. Counting Sort / Radix Sort

Lecture 5. Counting Sort / Radix Sort Lecture 5. Coutig Sort / Radix Sort T. H. Corme, C. E. Leiserso ad R. L. Rivest Itroductio to Algorithms, 3rd Editio, MIT Press, 2009 Sugkyukwa Uiversity Hyuseug Choo choo@skku.edu Copyright 2000-2018

More information

Parabolic Path to a Best Best-Fit Line:

Parabolic Path to a Best Best-Fit Line: Studet Activity : Fidig the Least Squares Regressio Lie By Explorig the Relatioship betwee Slope ad Residuals Objective: How does oe determie a best best-fit lie for a set of data? Eyeballig it may be

More information

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions

Outline. Applications of FFT in Communications. Fundamental FFT Algorithms. FFT Circuit Design Architectures. Conclusions FFT Circuit Desig Outlie Applicatios of FFT i Commuicatios Fudametal FFT Algorithms FFT Circuit Desig Architectures Coclusios DAB Receiver Tuer OFDM Demodulator Chael Decoder Mpeg Audio Decoder 56/5/ 4/48

More information

CMSC Computer Architecture Lecture 10: Caches. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 10: Caches. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 10: Caches Prof. Yajig Li Uiversity of Chicago Midterm Recap Overview ad fudametal cocepts ISA Uarch Datapath, cotrol Sigle cycle, multi cycle Pipeliig Basic idea,

More information

Introduction to GAMIT/GLOBK Applications of GLOBK. Lecture 11 OVERVIEW

Introduction to GAMIT/GLOBK Applications of GLOBK. Lecture 11 OVERVIEW Itroductio to GAMIT/GLOBK Applicatios of GLOBK Lecture 11 GAMIT/GLOBK Lec11 1 OVERVIEW o I this lecture we cover: o Basic types of aalyses with globk l Velocity ad repeatability rus o GLOBK acillary programs

More information

9.1. Sequences and Series. Sequences. What you should learn. Why you should learn it. Definition of Sequence

9.1. Sequences and Series. Sequences. What you should learn. Why you should learn it. Definition of Sequence _9.qxd // : AM Page Chapter 9 Sequeces, Series, ad Probability 9. Sequeces ad Series What you should lear Use sequece otatio to write the terms of sequeces. Use factorial otatio. Use summatio otatio to

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor Advanced Issues

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor Advanced Issues COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 4 The Processor Advaced Issues Review: Pipelie Hazards Structural hazards Desig pipelie to elimiate structural hazards.

More information

Lecture 28: Data Link Layer

Lecture 28: Data Link Layer Automatic Repeat Request (ARQ) 2. Go ack N ARQ Although the Stop ad Wait ARQ is very simple, you ca easily show that it has very the low efficiecy. The low efficiecy comes from the fact that the trasmittig

More information

The Magma Database file formats

The Magma Database file formats The Magma Database file formats Adrew Gaylard, Bret Pikey, ad Mart-Mari Breedt Johaesburg, South Africa 15th May 2006 1 Summary Magma is a ope-source object database created by Chris Muller, of Kasas City,

More information

1 Enterprise Modeler

1 Enterprise Modeler 1 Eterprise Modeler Itroductio I BaaERP, a Busiess Cotrol Model ad a Eterprise Structure Model for multi-site cofiguratios are itroduced. Eterprise Structure Model Busiess Cotrol Models Busiess Fuctio

More information

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only Edited: Yeh-Liag Hsu (998--; recommeded: Yeh-Liag Hsu (--9; last updated: Yeh-Liag Hsu (9--7. Note: This is the course material for ME55 Geometric modelig ad computer graphics, Yua Ze Uiversity. art of

More information

Algorithm. Counting Sort Analysis of Algorithms

Algorithm. Counting Sort Analysis of Algorithms Algorithm Coutig Sort Aalysis of Algorithms Assumptios: records Coutig sort Each record cotais keys ad data All keys are i the rage of 1 to k Space The usorted list is stored i A, the sorted list will

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks, Queues, and Heaps Monday, February 18 / Tuesday, February 19

CIS 121 Data Structures and Algorithms with Java Spring Stacks, Queues, and Heaps Monday, February 18 / Tuesday, February 19 CIS Data Structures ad Algorithms with Java Sprig 09 Stacks, Queues, ad Heaps Moday, February 8 / Tuesday, February 9 Stacks ad Queues Recall the stack ad queue ADTs (abstract data types from lecture.

More information

CSC165H1 Worksheet: Tutorial 8 Algorithm analysis (SOLUTIONS)

CSC165H1 Worksheet: Tutorial 8 Algorithm analysis (SOLUTIONS) CSC165H1, Witer 018 Learig Objectives By the ed of this worksheet, you will: Aalyse the ruig time of fuctios cotaiig ested loops. 1. Nested loop variatios. Each of the followig fuctios takes as iput a

More information

6.854J / J Advanced Algorithms Fall 2008

6.854J / J Advanced Algorithms Fall 2008 MIT OpeCourseWare http://ocw.mit.edu 6.854J / 18.415J Advaced Algorithms Fall 2008 For iformatio about citig these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. 18.415/6.854 Advaced Algorithms

More information

Image Segmentation EEE 508

Image Segmentation EEE 508 Image Segmetatio Objective: to determie (etract) object boudaries. It is a process of partitioig a image ito distict regios by groupig together eighborig piels based o some predefied similarity criterio.

More information

DATA SHEET AND USER GUIDE

DATA SHEET AND USER GUIDE V L S I I P O W N E D B Y A V I R A L M I T T A L Mp3HufDec DATA SHEET AND USER GUIDE Mp3HufDec ISO 11172-3 LAYER III HUFFMAN DECODER. ISO 11172-3 Layer III or commoly called as Mp3, employs Huffma ecodi

More information

8.0 Resolving Multi-Signal Drivers

8.0 Resolving Multi-Signal Drivers Fileame= ch8_2 8.0 Resolvig Multi-Sigal Drivers 8.1 Buses B ACTL BCTL DBUS DBUS A DBUS Ope circle deotes a iput coectio Solid dot deotes a output coectio The ope circles at the bus iputs respreset switched

More information

Today s objectives. CSE401: Introduction to Compiler Construction. What is a compiler? Administrative Details. Why study compilers?

Today s objectives. CSE401: Introduction to Compiler Construction. What is a compiler? Administrative Details. Why study compilers? CSE401: Itroductio to Compiler Costructio Larry Ruzzo Sprig 2004 Today s objectives Admiistrative details Defie compilers ad why we study them Defie the high-level structure of compilers Associate specific

More information

Computer Systems - HS

Computer Systems - HS What have we leared so far? Computer Systems High Level ENGG1203 2d Semester, 2017-18 Applicatios Sigals Systems & Cotrol Systems Computer & Embedded Systems Digital Logic Combiatioal Logic Sequetial Logic

More information

1.2 Binomial Coefficients and Subsets

1.2 Binomial Coefficients and Subsets 1.2. BINOMIAL COEFFICIENTS AND SUBSETS 13 1.2 Biomial Coefficiets ad Subsets 1.2-1 The loop below is part of a program to determie the umber of triagles formed by poits i the plae. for i =1 to for j =

More information

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL Automatic Geeratio of Polyomial-Basis Multipliers i GF (2 ) usig Recursive VHDL J. Nelso, G. Lai, A. Teca Abstract Multiplicatio i GF (2 ) is very commoly used i the fields of cryptography ad error correctig

More information

Abstract. Chapter 4 Computation. Overview 8/13/18. Bjarne Stroustrup Note:

Abstract. Chapter 4 Computation. Overview 8/13/18. Bjarne Stroustrup   Note: Chapter 4 Computatio Bjare Stroustrup www.stroustrup.com/programmig Abstract Today, I ll preset the basics of computatio. I particular, we ll discuss expressios, how to iterate over a series of values

More information

Recursive Procedures. How can you model the relationship between consecutive terms of a sequence?

Recursive Procedures. How can you model the relationship between consecutive terms of a sequence? 6. Recursive Procedures I Sectio 6.1, you used fuctio otatio to write a explicit formula to determie the value of ay term i a Sometimes it is easier to calculate oe term i a sequece usig the previous terms.

More information

Lecture 1: Introduction and Fundamental Concepts 1

Lecture 1: Introduction and Fundamental Concepts 1 Uderstadig Performace Lecture : Fudametal Cocepts ad Performace Aalysis CENG 332 Algorithm Determies umber of operatios executed Programmig laguage, compiler, architecture Determie umber of machie istructios

More information