Regularity for Reduced Variability

Size: px
Start display at page:

Download "Regularity for Reduced Variability"

Transcription

1 Regularity for Reduced Variability Larry Pileggi Carnegie Mellon 28 July 2006

2 CMU Collaborators Andrzej Strojwas Slava Rovner Tejas Jhaveri Thiago Hersan Kim Yaw Tong Sandeep Gupta Xin Li Norris Lui Jon Proesel Umut Arslan 28 July 2006 Slide 2

3 Layout Dependent Variations Layout dependent variations are having an increasingly dominant impact on functional and parametric yield Pattern Dependent Random Parametric Source: PDF Solutions Percent of Yield Loss 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 130nm 90nm 65nm 28 July 2006 Slide 3

4 Layout Dependencies Example: Without SRAM-layout specific SPICE models, design closure would be improbable for scaled CMOS Statistical transistor models based on all possible patterns produce a very wide noise margin distribution SRAM Number of Samples σ = nm bulk CMOS Static Noise Margin (Normalized) DR compliant SPICE models 28 July 2006 Slide 4

5 Layout Dependencies Based on 1000-simulation-run Monte Carlo SRAM Number of Samples σ = σ = Number of Samples Static Noise Margin (Normalized) DR compliant SPICE models Static Noise Margin (Normalized) SRAM-layout-specific SPICE models 28 July 2006 Slide 5

6 Micro-Regularity Grid of CD shapes with 500nm pitch Frequency response suggests that simple RETs would be effective for controlling this set of patterns 2-D FFT plots of poly-si patterns Highest peak at 2 Hz (2 objects per micron) 28 July 2006 Slide 6

7 Macro-Regularity Less restriction is required for the patterns that can be encapsulated within macro-regular pattern groups Can pre-qualify fundamental elements in silicon for known pattern neighborhoods Pattern neighborhood is known, therefore cells can be reliably implemented to create manufacturable arrays Macro-Regularity for cell-to-cell variations Micro-regularity for transistor-to-transistor variations 28 July 2006 Slide 7

8 90nm Memory Array Macro-regularity evident from repeated bit-cells Spread of impulses due to lack of micro-regularity in bit-cells, but patterns validated in silicon via trial-and-error 2-D FFT plots of poly-si patterns 28 July 2006 Slide 8

9 Standard Logic Cells Increasingly difficult to apply RETs and precisely print all patterns with a single optical setup for these 90nm std cells 2-D FFT plots of poly-si patterns 28 July 2006 Slide 9

10 Micro- and Macro-Variability Impact Ex: identical min size transistors measured for three different physical environments on the same 65nm IC Ioff (log) Source: PDF Solutions Env I Idrive Env II Env III Macro-regularity can provide for identical pattern environments for devices and cells Micro-regularity is an area/performance vs. variability trade-off 28 July 2006 Slide 10

11 Full Adder Ring Oscillator Micro-regular layout expected to enhance printability Expect reduced variability tighter Tp and Idc variation E.g. Tp ~ gate_length; Idc ~ exp(gate_length) osc B_7 A_7 Cout Cin sum B_6 A_6 Cout Cin sum B_1 A_1 Cout Cin sum B_0 A_0 Cout Cin sum 0 28 July 2006 Slide 11

12 Layout Comparison Std cell and regular mirror adders Regular adder based on SRAM FEOL-like pushed rules that are enabled by regularity to provide for comparable area design Std cell layout based on wrong-way poly with multiple jogs, diffusion routing, and multiple metal routing directions Standard cell mirror adder 3.6μm x 2.6 μm 9.4 μm 2 Regular Logic Fabrics 2.88μm x 3.2 μm 9.2 μm 2 28 July 2006 Slide 12

13 Extra Capacitance on CICO Path Larger diffusion areas due to on-grid placement of poly, contacts and metals but identical transistor sizing for both adders input output output internal internal input Standard cell mirror adder Total diffusion area at switching nodes = 0.26 μm 2 Regular Logic Fabrics Total diffusion area at switching nodes = 0.57 μm 2 28 July 2006 Slide 13

14 Wafer Probe Measurements Commercial 65nm bulk process Distributed ROs throughout wafer Measured 1 wafer thus far (147 sites) 2 sites with failed measurements for standard cell adder More measurements planned to show consistency of results across multiple wafers 28 July 2006 Slide 14

15 Delay vs. Idc for 1.2V (normalized by min current) 28 July 2006 Slide 15

16 Idc for 1.2V (values normalized by min current) 28 July 2006 Slide 16

17 Tp for 1.2V Microregularity incurs delay penalty due to extra parasitic C (values normalized by min current) 28 July 2006 Slide 17

18 Mean, Std. Deviation, and Coeff. Of Var. Mean μ = 1 N N i = 1 x i N 1 2 σ = ( x i μ) N 1 i = 1 assuming x i are independent, identically distributed samples Std. Deviation Coefficient of Variation is σ μ 28 July 2006 Slide 18

19 Static Current Comparisons (CICO) 8.0 Mean Static Current N o rm alized S tatic C urrent Normalized Standard Deviation Standard Cell Regular Fabric Vdd (V) Standard Deviation Standard Cell Regular Fabric Vdd (V) Normalized Coefficient of Variation σ/μ Coefficient of Variation Standard Cell Regular Fabric Vdd (V) 28 July 2006 Slide 19

20 Propagation Delay Comparison (CICO) Normalized Propagational Delay Normalized Standard Deviation Mean Propagational Delay Standard Cell Regular Fabric Vdd (V) Standard Deviation Standard Cell Regular Fabric Vdd (V) Slightly higher nominal delay expected due to increased parasitics for pushed-rule regular fabric adder Normalized Coefficient of Variation Coefficient of Variation Standard Cell Regular Fabric Vdd (V) 28 July 2006 Slide 20

21 Variations - Tp per Vdd = 1.2V Wafermaps of abs(x - μ), normalized by max value Standard cell mirror adder μ= σ = Regular mirror adder μ = σ = July 2006 Slide 21

22 Variations Idc per Vdd = 1.2V Wafermaps of abs(x - μ), normalized by max value Standard cell mirror adder μ= σ = Regular mirror adder μ = σ = July 2006 Slide 22

23 Outlier Count (Tp) Count of outlyers beyond 3σ σ = σ = Non-Regular mirror adder (all paths) # of outliers = Regular mirror adder (all paths) # of outliers = 0 28 July 2006 Slide 23

24 Outlier Count (Idc) Count of outliers beyond 3σ 7 6 σ = σ = Non-Regular mirror adder (all paths) # of outliers = Regular mirror adder (all paths) # of outliers = July 2006 Slide 24

25 Observations Any difference is due solely to micro-regularity of layout Both designs are macro-regular Implementations have identical transistor topology and sizing Difference in spread is most prominent in Idc Exponentially dependent on gate length We expect a greater variability impact when comparing macro-regularity differences 28 July 2006 Slide 25

26 Macro-Regular Logic Bricks Recently proposed macro-regular design via regular bricks Less cell-to-cell variation, as in SRAM bit-cells Total number of geometry patterns dramatically reduced Provides known pattern neighborhood to adjacent bricks Tighter characterization with known electrical environments Microregular logic r Microregular logic Microregular logic wellcharacterized, predictable pattern environments like memories 28 July 2006 Slide 26

27 Optimal Brick Size Big enough to satisfy optical proximity constraints Small enough to allow characterization and optimization Specific enough to minimize wasted logic Generic enough to allow reuse over multiple logic functions Total Area Small generic cells; (micro-regularity penalty) Big, generic bricks (wasted logic) Fewer Patterns Logic Efficiency Brick Size 28 July 2006 Slide 27

28 Experimental Flow 28 July 2006 Slide 28

29 ARM9 Implementation 65nm Low Power CMOS Std Cell Spec Design: 16KB D cache, 32KB I cache 250MHz worst case Area: mm 2 Bricks derived from 7 fixed-size primitives 3 Flip Flop types Various INV sizes for buffering 16 fixed-size application-specific bricks MMU DCache Identical block footprint area for bricks and std cell designs ICache 28 July 2006 Slide 29

30 ARM9 Implementation Results Std cells based on full sizing and resynthesis using complete library 40% more buffer area for bricks design due to sizing limitations Does not measure potential improvement in parametric yield Standard Cells (not on grid) Primitives (on grid) Regular Bricks (using primitive mapping) Silicon Whitespace (%) Relative WC Timing (%) Brick design has slightly less whitespace but fewer nets to route Simulation results do not capture improvement in control of variations, or improvement with Brick-specific synthesis and flow 28 July 2006 Slide 30

31 ACLV comparison Normalized Leff comparison based on ACLV simulations at nominal process conditions for DFFs: µ 3σ Std Cells FEOL push-rule Bricks July 2006 Slide 31

32 Regularity-Friendly Circuits Can further consider circuits and topologies which better match regular brick methodology and constraints Example: New DFF topology can reduce footprint, require only single clk polarity, and provide 20-40% improvement in speed 28 July 2006 Slide 32

33 Statistical Optimization Bricks can be statistically optimized for sizing w.r.t. variations We expect that macro-regularity of bricks vs. standard cells will provide substantial improvement in predictability Contact placement STI-Poly distance stress Random Dopant Active corner Adjacent stress Poly corner 28 July 2006 Slide 33

34 Conclusions and Future Directions Forms of Regular Fabrics appear to offer advantages beginning at 65nm node Benefits of reduced design margins have yet to be fully measured With limited number of bricks we can optimize them for better control and prediction of variations Both systematic (those which we can model) and random (those which cannot completely model) variations can be reduced Can carefully design bricks to reduce sensitivity to random variations 28 July 2006 Slide 34

Tutorial on Design For Manufacturability for Physical Design

Tutorial on Design For Manufacturability for Physical Design Tutorial on Design For Manufacturability for Physical Design Andrzej J. Strojwas PDF Solutions Inc., San Jose, CA & Carnegie Mellon University, Pittsburgh, PA 2005 ISPD, San Francisco, CA April 5, 2005

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Design Methodology of Regular Logic Bricks for Robust Integrated Circuits

Design Methodology of Regular Logic Bricks for Robust Integrated Circuits Design Methodology of Regular Logic Bricks for Robust Integrated Circuits Kim Yaw Tong, Vyacheslav Rovner, Lawrence T. Pileggi, and Veerbhan Kheterpal Carnegie Mellon University, and Fabbrix Inc. {ktong,

More information

Exploring Logic Block Granularity for Regular Fabrics

Exploring Logic Block Granularity for Regular Fabrics 1530-1591/04 $20.00 (c) 2004 IEEE Exploring Logic Block Granularity for Regular Fabrics A. Koorapaty, V. Kheterpal, P. Gopalakrishnan, M. Fu, L. Pileggi {aneeshk, vkheterp, pgopalak, mfu, pileggi}@ece.cmu.edu

More information

Nanometer Era Design For Manufacturability

Nanometer Era Design For Manufacturability Carnegie Mellon Nanometer Era Design For Manufacturability Andrzej J. Strojwas PDF Solutions Inc., San Jose, CA & Carnegie Mellon University, Pittsburgh, PA IEEE Wescon D2M, Santa Clara, CA April 13, 2005

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Jie Yang, Luigi Capodieci and Dennis Sylvester Advanced Micro Devices, 1 AMD Pl., Sunnyvale, CA 9486 EECS Dept., University

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators

DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan, Puneet Gupta, Andrew B. Kahng and Liangzhen Lai UC San Diego ECE and CSE Departments, La Jolla,

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

Ultra Low Power (ULP) Challenge in System Architecture Level

Ultra Low Power (ULP) Challenge in System Architecture Level Ultra Low Power (ULP) Challenge in System Architecture Level - New architectures for 45-nm, 32-nm era ASP-DAC 2007 Designers' Forum 9D: Panel Discussion: Top 10 Design Issues Toshinori Sato (Kyushu U)

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Exploring Regular Fabrics to Optimize the Performance- Cost Trade-Off

Exploring Regular Fabrics to Optimize the Performance- Cost Trade-Off 46.3 Exploring Regular Fabrics to Optimize the Performance- Cost Trade-Off L. Pileggi, H. Schmit, A.J. Strojwas, P. Gopalakrishnan,V. Kheterpal, A. Koorapaty, C. Patel, V. Rovner, K.Y. Tong Carnegie Mellon

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Deep Sub-Micron Cache Design

Deep Sub-Micron Cache Design Cache Design Challenges in Deep Sub-Micron Process Technologies L2 COE Carl Dietz May 25, 2007 Deep Sub-Micron Cache Design Agenda Bitcell Design Array Design SOI Considerations Surviving in the corporate

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

! Design Methodologies.  Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies.  Custom, Semi-Custom (cell-based, array-based) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Lecture Outline Design Methodologies Hierarchy, Modularity, Regularity, Locality Implementation

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor Row-based Design Methodologies To Compensate Variability For Energy- Efficient Systems Micrel lab, DEIS, University of Bologna Mohammad Reza Kakoee PhD Student m.kakoee@unibo.it it Luca Benini Advisor

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

CMOS Layout. Measure twice, fab once. L12 CMOS Layout Fall /16/02

CMOS Layout. Measure twice, fab once. L12 CMOS Layout Fall /16/02 CMOS Layout Measure twice, fab once L12 CMOS Layout 1 Lambda-based design rules One lambda = one half of the minimum mask dimension, typically the length of a transistor channel. Usually all edges must

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Pattern Sensitive Placement For Manufacturability

Pattern Sensitive Placement For Manufacturability Pattern Sensitive Placement For Manufacturability Shiyan Hu Department of ECE Texas A&M University College Station, Texas 77843 hushiyan@ece.tamu.edu Jiang Hu Department of ECE Texas A&M University College

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

Monte Carlo and Corners Simulations: Verifying Effectively and Efficiently Pre- Si. Elena Weinberg University of Virginia

Monte Carlo and Corners Simulations: Verifying Effectively and Efficiently Pre- Si. Elena Weinberg University of Virginia Monte Carlo and Corners Simulations: Verifying Effectively and Efficiently Pre- Si Elena Weinberg University of Virginia Context! With continued scaling of MOSFETs, variation has become a very important

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

Directed Self-Assembly for the Semiconductor Industry

Directed Self-Assembly for the Semiconductor Industry Directed Self-Assembly for the Semiconductor Industry H.-S. Philip Wong, Chris Bencher # Linda He Yi, Xin-Yu Bao, Li-Wen Chang Stanford University, # Applied Materials Stanford University J.W. Jeong...C.A.

More information

CROWNE: Current Ratio Outliers With Neighbor Estimator

CROWNE: Current Ratio Outliers With Neighbor Estimator OWNE: Current Ratio Outliers With Neighbor Estimator Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Tel: (979) 862-4387 Fax: (979) 847-8578

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Embedded SRAM Technology for High-End Processors

Embedded SRAM Technology for High-End Processors Embedded SRAM Technology for High-End Processors Hiroshi Nakadai Gaku Ito Toshiyuki Uetake Fujitsu is the only company in Japan that develops its own processors for use in server products that support

More information

Design Factors Affecting Laser Cutting Parameters Line width Wider lines more heat flow Lines affect spot size larger line: wider spot Lines much

Design Factors Affecting Laser Cutting Parameters Line width Wider lines more heat flow Lines affect spot size larger line: wider spot Lines much Design Factors Affecting Laser Cutting Parameters Line width Wider lines more heat flow Lines affect spot size larger line: wider spot Lines much larger than spot size Require several positions and laser

More information

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No #1 Introduction So electronic design automation,

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS Radu Zlatanovici zradu@eecs.berkeley.edu http://www.eecs.berkeley.edu/~zradu Department of Electrical Engineering and Computer Sciences University

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements Digeorgia N. da Silva, André I. Reis, Renato P. Ribas PGMicro - Federal University of Rio Grande do Sul, Av. Bento Gonçalves

More information

Post Silicon Electrical Validation

Post Silicon Electrical Validation Post Silicon Electrical Validation Tony Muilenburg 1 1/21/2014 Homework 4 Review 2 1/21/2014 Architecture / Integration History 3 1/21/2014 4 1/21/2014 Brief History Of Microprocessors 5 1/21/2014 6 1/21/2014

More information

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS TABLE OF CONTENTS Introduction.................................................................................. 1 Design

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information