Minimization of NBTI Performance Degradation Using Internal Node Control

Size: px
Start display at page:

Download "Minimization of NBTI Performance Degradation Using Internal Node Control"

Transcription

1 Minimization of NBTI Performance Degradation Using Internal Node Control David R. Bild, Gregory E. Bok, and Robert P. Dick Department of EECS Nico Trading University of Michigan 3 S. Wacker Drive, Suite 900 Ann Arbor, MI 4809, USA Chicago, IL 60606, USA This work was performed while the authors were with the Department of Electrical Engineering and Computer Science, Northwestern University, Evanston IL 60208, USA. April 2, 2009

2 Outline Introduction. Introduction 2. NBTI Internal Node Control 3. MILP 4. Algorithm 2 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

3 Outline Introduction. Introduction D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

4 What is NBTI Introduction Negative Bias Temperature Instability Breakdown of Si H bonds which leads to increased threshold voltage Reduction in transconductance and thus switching speed Significant for PMOS transistors under negative bias Partially recovers when negative bias is removed 4 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

5 Contributions Introduction Internal Node Control Input Vector Control (IVC) control the primary inputs Internal Node Control (INC) extend control to internal nodes Contributions Analyzed the potential benefit of using INC to reduce static NBTI degradation Results Developed a fast, near-optimal heuristic for the application of INC INC Average 26.7% improvement relative to IVC only INC+IVC Average 5.3% decrease in NBTI-induced delay 5 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

6 Outline Introduction NBTI Internal Node Control. Introduction D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

7 Section outline Introduction NBTI Internal Node Control 2. NBTI Internal Node Control 7 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

8 Physical Process Introduction NBTI Internal Node Control Reaction-Diffusion Model Reaction: Electric-field dependent disassociation of Si-H bonds at Si/SiO 2 interface Creation of interface traps, dangling bonds, oxide charges Diffusion: H or H 2 diffuses into the oxide Recovery Effect Stress is removed Hydrogen diffuses back towards the interface Re-bonds with Si 8 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

9 Analytical Models Introduction NBTI Internal Node Control Types of Stress Static: Continuously applied stress (e.g., idle functional unit) Dynamic: Alternating stress and recovery (e.g., operating functional unit) Models Cycle-based, transistor-level simulation is too slow Analytical models have been developed Overestimate degradation for static stress Our Model Aggregate, long-term effect of static stress Assume 0% increase in delay after 0 years (Paul et. al) 9 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

10 Managing NBTI Introduction NBTI Internal Node Control Compensating Techniques Guardbanding Gate sizing V dd tuning V th tuning Mitigating Techniques Power gating Clock gating Input Vector Control (IVC) 0 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

11 Input Vector Control Introduction NBTI Internal Node Control Wang et al. in DATE 2006 In sleep mode, set the primary inputs to minimize the impact on circuit delay Implement with MUXes, scan-chains, or modified registers Con: Limited effectiveness on deeper levels of logic Example Sleep PI PI2 PI3 0 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

12 Section outline Introduction NBTI Internal Node Control 2. NBTI Internal Node Control 2 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

13 INC Implementation Introduction NBTI Internal Node Control Power Minimization Proposed by Abdollahi, Fallah, and Pedram Add INC to some internal gates Force the output value with sleep signal INC Implementation Pull Up in Pull Down out sleep in Pull Up Pull Down out sleep 3 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

14 Potential for NBTI Introduction NBTI Internal Node Control Inverting Logic All inputs high leads to low output Would have to force all outputs high to eliminate all stress Focus on critical path transistors instead NOR Gate Stack Vdd Vdd Vss Stressed Vdd Not Stressed Vdd Vth Vss Stressed Vss Not Stressed out out Pull Down Pull Down 4 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

15 Introduction Example Insertion Scenario I NBTI Internal Node Control Inline 0 0 INC Insertion 0 0 INC 5 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

16 Introduction Example Insertion Scenario II NBTI Internal Node Control NOR Stack 0 0 INC Insertion 0 0 INC 6 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

17 Introduction Example Insertion Scenario III NBTI Internal Node Control Offpath INC Insertion 0 0 INC D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

18 Introduction Formal Problem Definition NBTI Internal Node Control Given A combinational circuit For each gate, the basic delay, INC delay, and NBTI delay Find Input vector INC insertion points Such That The critical path delay (post-nbti) is minimized 8 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

19 Complexity Introduction NBTI Internal Node Control N P-complete The problem is in N P by polynomial-time checking Prove N P-hard via reduction from circuit-sat Circuit-SAT Reduction Circuit Gadget 9 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

20 Outline Introduction MILP. Introduction D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

21 Section outline Introduction MILP 3. MILP 2 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

22 Introduction MILP MILP MILP formulation Solved using Symphony open-source solver Gate Library 7 gate library in 65 nm Characterized timing (for INC and non-inc) using SPICE Verified against TSMC library Benchmarks ISCAS85 benchmarks Synthesized using Synopsys Design Compiler 22 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

23 Section outline Introduction MILP 3. MILP 23 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

24 Introduction Optimal I MILP 00 Percent Improvement in Delay 80 % Decrease in Delay c432 c499 c880 c355 c908 c2670 c3540 c D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

25 Introduction Optimal II MILP Summary 26.7% average improvement relative to optimal IVC 5.3% average improvement relative to average IVC 25 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

26 Outline Introduction Algorithm. Introduction D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

27 Section outline Introduction Algorithm 4. Algorithm 27 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

28 Motivation Introduction Algorithm Complexity Problem is N P-hard For small benchmarks, can only generate upper and lower bounds on optimal Overview Linear-time Tree-partitioning and dynamic programming Based on work by Cheng, Chen, and Wong 28 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

29 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

30 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

31 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

32 Dangling Inputs Introduction Algorithm Tree 0 DAG Circuit Key: Primary Input Tree Dangling Input 30 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

33 Partitioning Introduction Algorithm Partitioning for Other Problems Proposed for other problems (e.g., leakage power minimization, tech mapping) Additive cost function in these cases Maintain large partitions Partitioning for INC Maximum cost function Maintain critical path in a single partition Keep connections with lowest slack 3 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

34 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

35 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

36 Dangling Inputs Introduction Algorithm Tree 0 DAG Circuit Key: Primary Input Tree Dangling Input 33 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

37 Initial Assignment Introduction Algorithm Initial Assignment Apply dynamic programming algorithm to the full DAG circuit When conflicting assignments occur, choose the majority Choose when ties occur 34 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

38 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

39 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

40 Introduction Dynamic Programming Algorithm Forward Pass Compute input combination and INC state with 0 output and minimal arrival time Compute input combination and INC state with output and minimal arrival time Backward Pass Choose output value with minimal arrival time for output Back-propagate the values 36 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

41 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

42 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

43 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

44 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

45 Require: circuit G Require: maximum number of iterations, N : partition circuit into trees 2: select initial values for dangling inputs 3: for i = 0 to N do 4: for all partitions do 5: choose IVC and INC using dynamic programming 6: end for 7: update dangling input values 8: if solution is the same as previous then 9: break {Check for convergence} 0: end if : if oscillation is detected then 2: repartition the circuit 3: end if 4: end for 5: greedily remove INCs that do not affect delay 6: return input vector and INC placements

46 Runtime Introduction Algorithm Linear in number of gates Partitioning topological traversal Dynamic programming 2 topological traversals Limit the number of iterations to a small constant (e.g., 5) 38 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

47 Section outline Introduction Algorithm 4. Algorithm 39 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

48 Introduction Algorithm Circuit % Worse than Mid % Worse and UB Time (s) c c c c c c c c D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

49 Area Impacts Introduction Algorithm Circuit Total INC Original INC % Gates Gates Trans. Trans. Increase c c c c c c c c D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

50 Summary Introduction Algorithm Proposed Internal Node Control (INC) for reducing static NBTI degradation on idle functional units Showed that INC leads to an average 26.7% reduction in delay relative to IVC alone Proposed a linear-time heuristic that generates near optimal results quickly 42 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

51 Acknowledgments Introduction Algorithm This work was supported by the Semiconductor Research Corporation under award 2007-HJ-593 and by the National Science Foundation under awards CCF and CNS D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

52 Questions Introduction Algorithm Thank You 44 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

53 Introduction Dynamic Programming Example Algorithm 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

54 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps 0: 0 ps : 0 ps 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

55 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps : 50 ps 0: 0 ps : 0 ps 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

56 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps >0: 48 ps 0 >: 53 ps >: 53.3 ps 0 >0: 58. ps : 50 ps 0: 0 ps : 0 ps 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

57 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps >0: 48 ps 0 >: 53 ps : 50 ps 0: 0 ps : 0 ps 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

58 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps >0: 48 ps 0 >: 53 ps : 50 ps 0: 0 ps : 0 ps, >0: 06 ps, >: 3 ps \w INC 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

59 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps >0: 48 ps 0 >: 53 ps : 50 ps, >0: 8 ps 0,0 >: 82 ps 0: 0 ps : 0 ps, >0: 06 ps, >: 3 ps \w INC 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

60 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps >0: 48 ps 0 >: 53 ps : 50 ps 0, >0: 8 ps 0: 0 ps : 0 ps, >0: 06 ps, >: 3 ps \w INC 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

61 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps : 50 ps >0: 48 ps 0 >: 53 ps 0 0: 0 ps : 0 ps, >0: 06 ps, >: 3 ps \w INC 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

62 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps : 50 ps 0: 0 ps : 0 ps >0: 48 ps 0 >: 53 ps, >0: 06 ps, >: 3 ps \w INC 0 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

63 Introduction Dynamic Programming Example Algorithm 0: 0 ps : 0 ps : 50 ps 0 0 0: 0 ps : 0 ps 45 D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

64 Introduction Dynamic Programming Example Algorithm D.R. Bild, G.E. Bok, and R.P. Dick Minimization of NBTI Performance Degradation...

Minimization of NBTI Performance Degradation Using Internal Node Control

Minimization of NBTI Performance Degradation Using Internal Node Control Minimization of NBTI Performance Degradation Using Internal Node Control David R. Bild EECS Department University of Michigan Ann Arbor, MI 489, USA drbild@umich.edu Gregory E. Bok Nico Trading 3 S. Wacker

More information

A Static NBTI Reduction Using Internal Node Control

A Static NBTI Reduction Using Internal Node Control A Static NBTI Reduction Using Internal Node Control DAVID R. BILD and ROBERT P. DICK, University of Michigan GREGORY E. BOK, Nico Trading Negative Bias Temperature Instability (NBTI) is a significant reliability

More information

Static NBTI Reduction Using Internal Node Control

Static NBTI Reduction Using Internal Node Control NORTHWESTERN UNIVERSITY Static NBTI Reduction Using Internal Node Control A THESIS SUBMITTED TO THE GRADUATE SCHOOL IN PARTIAL FULFILLMENT OF THE REQUIREMENTS for the degree MASTER OF SCIENCE Field of

More information

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference Reliability Simulation based on Verilog-A Marq Kole Behavioral Modelling And Simulation conference September 20, 2007 Outline Device Degradation and Circuit Reliability Reliability Physics Reliability

More information

Department of Electrical and Computer Engineering

Department of Electrical and Computer Engineering LAGRANGIAN RELAXATION FOR GATE IMPLEMENTATION SELECTION Yi-Le Huang, Jiang Hu and Weiping Shi Department of Electrical and Computer Engineering Texas A&M University OUTLINE Introduction and motivation

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor Row-based Design Methodologies To Compensate Variability For Energy- Efficient Systems Micrel lab, DEIS, University of Bologna Mohammad Reza Kakoee PhD Student m.kakoee@unibo.it it Luca Benini Advisor

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting 1 On Constructing Lower Power and Robust Clock Tree via Slew Budgeting Yeh-Chi Chang, Chun-Kai Wang and Hung-Ming Chen Dept. of EE, National Chiao Tung University, Taiwan 2012 年 3 月 29 日 Outline 2 Motivation

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

Multi-site Probing for Wafer-Level Reliability

Multi-site Probing for Wafer-Level Reliability Multi-site Probing for Wafer-Level Reliability Louis Solis De Ancona 1 Sharad Prasad 2, David Pachura 2 1 Agilent Technologies 2 LSI Logic Corporation s Outline Introduction Multi-Site Probing Challenges

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Asia and South Pacific Design Automation Conference

Asia and South Pacific Design Automation Conference Asia and South Pacific Design Automation Conference Authors: Kuan-Yu Lin, Hong-Ting Lin, and Tsung-Yi Ho Presenter: Hong-Ting Lin chibli@csie.ncku.edu.tw http://eda.csie.ncku.edu.tw Electronic Design Automation

More information

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on on-chip Architecture Avinash Kodi, Ashwini Sarathy * and Ahmed Louri * Department of Electrical Engineering and

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Gate Sizing by Lagrangian Relaxation Revisited

Gate Sizing by Lagrangian Relaxation Revisited Gate Sizing by Lagrangian Relaxation Revisited Jia Wang, Debasish Das, and Hai Zhou Electrical Engineering and Computer Science Northwestern University Evanston, Illinois, United States October 17, 2007

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling

Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling Compact Modeling for PV and Aging Effects Correlated PV and Aging corner models ESE MOS-AK Rome a leap ahead in Compact Modeling This work is funded by: Granted Medea+ Project Motivation General constraint

More information

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension DPA: A data pattern aware error prevention technique for NAND flash lifetime extension *Jie Guo, *Zhijie Chen, **Danghui Wang, ***Zili Shao, *Yiran Chen *University of Pittsburgh **Northwestern Polytechnical

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

Near-Threshold Computing: Reclaiming Moore s Law

Near-Threshold Computing: Reclaiming Moore s Law 1 Near-Threshold Computing: Reclaiming Moore s Law Dr. Ronald G. Dreslinski Research Fellow Ann Arbor 1 1 Motivation 1000000 Transistors (100,000's) 100000 10000 Power (W) Performance (GOPS) Efficiency (GOPS/W)

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Reconfigurable Multicore Server Processors for Low Power Operation

Reconfigurable Multicore Server Processors for Low Power Operation Reconfigurable Multicore Server Processors for Low Power Operation Ronald G. Dreslinski, David Fick, David Blaauw, Dennis Sylvester, Trevor Mudge University of Michigan, Advanced Computer Architecture

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Monotonic Static CMOS and Dual V T Technology

Monotonic Static CMOS and Dual V T Technology Monotonic Static CMOS and Dual V T Technology Tyler Thorp, Gin Yee and Carl Sechen Department of Electrical Engineering University of Wasngton, Seattle, WA 98195 {thorp,gsyee,sechen}@twolf.ee.wasngton.edu

More information

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas 1 RTL Design Flow HDL RTL Synthesis Manual Design Module Generators Library netlist

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Power Gated Match Line Sensing Content Addressable Memory

Power Gated Match Line Sensing Content Addressable Memory International Journal of Embedded Systems, Robotics and Computer Engineering. Volume 1, Number 1 (2015), pp. 1-6 International Research Publication House http://www.irphouse.com Power Gated Match Line

More information

Advances In Industrial Logic Synthesis

Advances In Industrial Logic Synthesis Advances In Industrial Logic Synthesis Luca Amarù, Patrick Vuillod, Jiong Luo Design Group, Synopsys Inc., Sunnyvale, California, USA Design Group, Synopsys, Grenoble, FR Logic Synthesis Y

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Retiming and Clock Scheduling for Digital Circuit Optimization

Retiming and Clock Scheduling for Digital Circuit Optimization 184 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 2, FEBRUARY 2002 Retiming and Clock Scheduling for Digital Circuit Optimization Xun Liu, Student Member,

More information

Kyoung Hwan Lim and Taewhan Kim Seoul National University

Kyoung Hwan Lim and Taewhan Kim Seoul National University Kyoung Hwan Lim and Taewhan Kim Seoul National University Table of Contents Introduction Motivational Example The Proposed Algorithm Experimental Results Conclusion In synchronous circuit design, all sequential

More information

Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes

Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes Yingyi Luo, Xiaoyang Wang, Seda Ogrenci-Memik, Gokhan Memik, Kazutomo Yoshii, Pete Beckman @ICCD 2018 Motivation FPGAs in data centers

More information

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Body Bias Voltage Computations for Process and Temperature Compensation

Body Bias Voltage Computations for Process and Temperature Compensation 1 Body Bias Voltage Computations for Process and Temperature Compensation Sanjay V. Kumar, Chris H. Kim, and Sachin S. Sapatnekar Department of Electrical and Computer Engineering, University of Minnesota,

More information

ABC basics (compilation from different articles)

ABC basics (compilation from different articles) 1. AIG construction 2. AIG optimization 3. Technology mapping ABC basics (compilation from different articles) 1. BACKGROUND An And-Inverter Graph (AIG) is a directed acyclic graph (DAG), in which a node

More information

DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators

DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan, Puneet Gupta, Andrew B. Kahng and Liangzhen Lai UC San Diego ECE and CSE Departments, La Jolla,

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization

Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization 6.1 Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization De-Shiuan Chiou, Da-Cheng Juan, Yu-Ting Chen, and Shih-Chieh Chang Department of CS, National Tsing Hua University, Hsinchu,

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

hal , version 1-14 Feb 2012 Abstract

hal , version 1-14 Feb 2012 Abstract Author manuscript, published in "Microelectronics Reliability, 9 () p14-18" DOI : 16/jmicrorel7 Ageing effect on electromagnetic susceptibility of a phase-locked-loop B Li 1, A Boyer, S Bendhia, C Lemoine

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Gate Sizing and Vth Assignment for Asynchronous Circuits Using Lagrangian Relaxation. Gang Wu, Ankur Sharma and Chris Chu Iowa State University

Gate Sizing and Vth Assignment for Asynchronous Circuits Using Lagrangian Relaxation. Gang Wu, Ankur Sharma and Chris Chu Iowa State University 1 Gate Sizing and Vth Assignment for Asynchronous Circuits Using Lagrangian Relaxation Gang Wu, Ankur Sharma and Chris Chu Iowa State University Introduction 2 Asynchronous Gate Selection Problem: Selecting

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Minimizing Clock Domain Crossing in Network on Chip Interconnect

Minimizing Clock Domain Crossing in Network on Chip Interconnect Minimizing Clock Domain Crossing in Network on Chip Interconnect Parag Kulkarni 1, Puneet Gupta 2, Rudy Beraha 3 1 Synopsys 2 UCLA 3 Qualcomm Corp. R&D Outline Motivation The Router Coloring Problem Approaches

More information

Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets

Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets ASP-DAC 2007 Yokohama Combinational Equivalence Checking Using Incremental SAT Solving, Output ing, and Resets Stefan Disch Christoph Scholl Outline Motivation Preliminaries Our Approach Output ing Heuristics

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Statistical Timing Analysis Using Bounds and Selective Enumeration

Statistical Timing Analysis Using Bounds and Selective Enumeration IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 9, SEPTEMBER 2003 1243 Statistical Timing Analysis Using Bounds and Selective Enumeration Aseem Agarwal, Student

More information

Power Gate Optimization Method for In-Rush Current and Power Up Time

Power Gate Optimization Method for In-Rush Current and Power Up Time Power Gate Optimization Method for In-Rush Current and Power Up Time Presenter : Teng, Siong Kiong Ung, Chee Kong Intel Corporation Intel and the Intel logo are registered trademarks of Intel Corporation

More information

Monte Carlo and Corners Simulations: Verifying Effectively and Efficiently Pre- Si. Elena Weinberg University of Virginia

Monte Carlo and Corners Simulations: Verifying Effectively and Efficiently Pre- Si. Elena Weinberg University of Virginia Monte Carlo and Corners Simulations: Verifying Effectively and Efficiently Pre- Si Elena Weinberg University of Virginia Context! With continued scaling of MOSFETs, variation has become a very important

More information

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power Atluri.Jhansi rani*, K.Harikishore**, Fazal Noor Basha**,V.G.Santhi Swaroop*, L. VeeraRaju* * *Assistant professor, ECE Department,

More information

Device And Architecture Co-Optimization for FPGA Power Reduction

Device And Architecture Co-Optimization for FPGA Power Reduction 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

Comparative Analysis of Contemporary Cache Power Reduction Techniques

Comparative Analysis of Contemporary Cache Power Reduction Techniques Comparative Analysis of Contemporary Cache Power Reduction Techniques Ph.D. Dissertation Proposal Samuel V. Rodriguez Motivation Power dissipation is important across the board, not just portable devices!!

More information

CSL: Coordinated and Scalable Logic Synthesis Techniques for Effective NBTI Reduction

CSL: Coordinated and Scalable Logic Synthesis Techniques for Effective NBTI Reduction CSL: Coordinated and Scalable Logic Synthesis Techniques for Effective NBTI Reduction Chen-Hsuan Lin, Subhendu Roy, Chun-Yao Wang, David Z. Pan and Deming Chen Department of Electrical and Computer Engineering

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements Digeorgia N. da Silva, André I. Reis, Renato P. Ribas PGMicro - Federal University of Rio Grande do Sul, Av. Bento Gonçalves

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias Bookmark file Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias Stephen V. Kosonocky, Mike Immediato, Peter Cottrell*, Terence Hook*, Randy Mann*, Jeff Brown* IBM T.J. Watson Research

More information

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University Talk Outline Quo Vadis FinFET devices? Quo Vadis FinFET standard cells and logic circuits? Addressing the Power Wall

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE24 - Spring 20 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework due on Wednesday Quiz # next Monday, March 7 2 Outline Last lecture Variability This lecture SRAM 3 Practical

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

Embedded SRAM Technology for High-End Processors

Embedded SRAM Technology for High-End Processors Embedded SRAM Technology for High-End Processors Hiroshi Nakadai Gaku Ito Toshiyuki Uetake Fujitsu is the only company in Japan that develops its own processors for use in server products that support

More information

Adaptive Robustness Tuning for High Performance Domino Logic

Adaptive Robustness Tuning for High Performance Domino Logic Adaptive Robustness Tuning for High Performance Domino Logic Bharan Giridhar 1, David Fick 1, Matthew Fojtik 1, Sudhir Satpathy 1, David Bull 2, Dennis Sylvester 1 and David Blaauw 1 1 niversity of Michigan,

More information

Complexity results for throughput and latency optimization of replicated and data-parallel workflows

Complexity results for throughput and latency optimization of replicated and data-parallel workflows Complexity results for throughput and latency optimization of replicated and data-parallel workflows Anne Benoit and Yves Robert GRAAL team, LIP École Normale Supérieure de Lyon June 2007 Anne.Benoit@ens-lyon.fr

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Ternary Content Addressable Memory Types And Matchline Schemes

Ternary Content Addressable Memory Types And Matchline Schemes RESEARCH ARTICLE OPEN ACCESS Ternary Content Addressable Memory Types And Matchline Schemes Sulthana A 1, Meenakshi V 2 1 Student, ME - VLSI DESIGN, Sona College of Technology, Tamilnadu, India 2 Assistant

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The requirements for The Degree

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information