Vivado Design Suite プロパティリファレンスガイド. UG912 (v2012.3) 2012 年 11 月 16 日

Size: px
Start display at page:

Download "Vivado Design Suite プロパティリファレンスガイド. UG912 (v2012.3) 2012 年 11 月 16 日"

Transcription

1 Vivado Design Suite プロパティリファレンスガイド

2 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.to the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.you may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications: Copyright 2012 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.all other trademarks are the property of their respective owners. 本資料は英語版 (v2012.3) を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください この資料に関するフィードバックおよびリンクなどの問題につきましては jpn_trans_feedback@xilinx.com までお知らせください いただきましたご意見を参考に早急に対応させていただきます なお このメールアドレスへのお問い合わせは受け付けておりません あらかじめご了承ください 改訂履歴 次の表に この文書の改訂履歴を示します 日付バージョン改訂内容 2012 年 9 月 4 日 初版 2012 年 11 月 16 日 ASYNC_REG の説明をアップデート 詳細は 第 2 章の ASYNC_REG を参照してください プロパティリファレンスガイド japan.xilinx.com 2

3 目次 第 1 章 : 概要このガイドの概要 PDF 資料からの構文およびコード例のコピー 第 2 章 : Vivado Design Suite プロパティプロパティ情報 含まれるプロパティ ASYNC_REG BEL CLOCK_DEDICATED_ROUTE COMPATIBLE_CONFIG_MODES DCI_CASCADE DIFF_TERM DONT_TOUCH DRIVE HIODELAY_GROUP HLUTNM IN_TERM INTERNAL_VREF IOB IODELAY_GROUP IOSTANDARD KEEP_HIERARCHY LOC LUTNM MARK_DEBUG PACKAGE_PIN PROHIBIT SLEW VCCAUX_IO 付録 A : その他のリソースザイリンクスリソース ソリューションセンター リファレンス プロパティリファレンスガイド japan.xilinx.com 3

4 第 1 章 概要 このガイドの概要 このガイドでは ザイリンクス Vivado Design Suite で使用可能なプロパティについて説明します 含まれる内容は次のとおりです 第 1 章 概要 第 2 章 Vivado Design Suite プロパティ 各 Vivado Design Suite プロパティ 説明 サポートアーキテクチャ 適用可能エレメント 値 構文例 (Verilog VHDL XDC) デザインで影響のある手順 付録 A その他のリソース のザイリンクスサポートウェブサイトから入手可能なリソースおよび資料 PDF 資料からの構文およびコード例のコピー 重要 : 本書からコードに構文またはコード例をコピーする前に このセクションを注意してお読みください 本書には 構文およびコード例が多く含まれ コードにプロパティを挿入できるようになっています これらのコピーを PDF から直接コードにコピーする場合 次のような問題があります PDF 資料の改行マークが例に挿入されてしまい コードでエラーの原因となります 次のページにまたがるような例をコピーすると PDF のヘッダーおよびフッター情報も一緒にコピーされてしまい エラーの原因となります これらの問題を回避するには ASCII テキストエディターで例を編集して 不必要なマーカーや情報を削除してから コードに貼り付けるようにしてください 改行がなかったり ページをまたいだりしないような短い例の場合 この処理は無視できます プロパティリファレンスガイド japan.xilinx.com 4

5 第 2 章 Vivado Design Suite プロパティ プロパティ情報 本章では ザイリンクス Vivado Design Suite プロパティに関する情報を示します 各プロパティの記述には 該当する説明がある場合は次が含まれます 主な使用方法を含むプロパティの説明 プロパティのサポートされるアーキテクチャ プロパティに適用可能なエレメント プロパティに使用可能な値 構文例 (Verilog, VHDL XDC など ) 影響のある処理 その他のプロパティへの参照 含まれるプロパティ ASYNC_REG BEL CLOCK_DEDICATED_ROUTE COMPATIBLE_CONFIG_MODES DCI_CASCADE DIFF_TERM DONT_TOUCH DRIVE HIODELAY_GROUP HLUTNM IN_TERM INTERNAL_VREF IOB IODELAY_GROUP IOSTANDARD KEEP_HIERARCHY プロパティリファレンスガイド japan.xilinx.com 5

6 含まれるプロパティ LOC LUTNM MARK_DEBUG PACKAGE_PIN PROHIBIT SLEW VCCAUX_IO プロパティリファレンスガイド japan.xilinx.com 6

7 ASYNC_REG ASYNC_REG ASYNC_REG では 次が指定されます ソースクロックに接続された D 入力ピンに非同期データをレジスタが受信できます または レジスタが同期チェーン内の同期レジスタになります シミュレーション中にタイミング違反が発生すると デフォルトではレジスタエレメントから X または未知のステート (1 でも 0 でもない値 ) が出力されます この場合 エレメントの駆動するものすべての入力が X と表示され 未知のステートになります この状態のままにしておくと デザインの大きなセクションが未知になったり シミュレータでこのステートから回復できないことがあります ASYNC_REG では タイミング違反が発生しても最後の既知の値を出力するようにレジスタを編集します ASYNC_REG を指定すると 最適化 配置 配線にも影響し メタステーブルになる可能性のある MTBF ( 平均故障間隔 ) が改善されます ASYNC_REG を指定すると 配置ツールで非同期チェーンのフリップフロップ同士が近くに配置され MTBF を最長にできます 直接接続された ASYNC_REG 付きのレジスタは 互換性のある制御セットが含まれ レジスタ数がスライスの使用可能なリソース数を超えない場合 グループ化されて 1 つのスライスに一緒に配置されます X-Ref Target - Figure 2-1 図 2-1 : クロックドメインの同期 プロパティリファレンスガイド japan.xilinx.com 7

8 ASYNC_REG 次は 7 ページの図 2-1 に示す 2 ステージシンクロナイザーの Verilog 例です レジスタは 別のクロックドメインからの値を同期します ASYNC_REG プロパティは 値 TRUE でシンクロナイザーステージに適用されます (* ASYNC_REG = "TRUE" *) reg sync_0, sync_1; clk) begin sync_1 <= sync_0; sync_0 <= en;... ASYNC_REG プロパティを使用すると レジスタがグループ化されるので できるだけ近くに配置することができます X-Ref Target - Figure 2-2 アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) 値 レジスタ (FD FDCE FDPE FDRE FDSE) FALSE ( デフォルト ) 図 2-2 : レジスタのグループ化 レジスタは最適化で削除されるか SRL DSP または RAMB などのブロックに吸収されます 特定のシミュレーション 配置 配線規則は適用されません プロパティリファレンスガイド japan.xilinx.com 8

9 ASYNC_REG TRUE 構文 レジスタは同期チェーンの一部で インプリメンテーション中も保持され チェーンのその他のレジスタの近くに配置されて MTBF レポートに使用されます 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性はレジスタのインスタンシエーションまたは reg 宣言の直前に配置します (* ASYNC_REG = "{TRUE FALSE}" *) Verilog の構文例 // Designates sync_regs as receiving asynchronous data (* ASYNC_REG = "TRUE" *) reg [2:0] sync_regs; VHDL 構文 VHDL 属性は次のように宣言します attribute ASYNC_REG : string; VHDL 属性を次のように指定します attribute ASYNC_REG of name: label is "{TRUE FALSE}"; name は 次のどちらかになります インスタンシエート済みレジスタのインスタンス名 または レジスタに推論される宣言済みの信号 VHDL の構文例 attribute ASYNC_REG : string; signal sync_regs : std_logic_vector(2 downto 1); -- Designates sync_regs as receiving asynchronous data attribute ASYNC_REG of sync_regs: label is "TRUE"; XDC 構文 set_property ASYNC_REG value [get_cells instance_name] instance_name はレジスタインスタンスです XDC の構文例 # Designates sync_regs as receiving asynchronous data set_property ASYNC_REG TRUE [get_cells sync_regs*] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます プロパティリファレンスガイド japan.xilinx.com 9

10 ASYNC_REG 影響のある処理 launch_xsim synth_design place_design route_design phys_opt_design power_opt_design report_drc write_verilog write_vhdl プロパティリファレンスガイド japan.xilinx.com 10

11 BEL BEL BEL ではレジスタまたは LUT のスライス内での特定の配置を指定します 通常 LOC プロパティと一緒に使用して レジスタまたは LUT の正確な配置を指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) 値 レジスタ (FD FDCE FDPE FDRE FDSE) LUT (LUT1 LUT2 LUT3 LUT4 LUT5 LUT6 LUT6_2) SRL (SRL16E SRLC32E) LUTRAM (RAM32X1D RAM32X1S RAM64X1S) BEL のサイト名 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性は LUT またはレジスタのインスタンシエーション直前に配置します 推論されたレジスタの SRL または LUTRAM の reg 宣言前に配置することもできます (* BEL = "site_name" *) Verilog の構文例 // Designates placed_reg to be placed in FF site A5FF (* BEL = "A5FF" *) reg placed_reg; VHDL 構文 VHDL 属性は次のように宣言します attribute BEL : string; インスタンシエート済みインスタンスの場合は 次のように指定します attribute BEL of instance_name : label is "site_name"; instance_name は LUT SRL LUTRAM などのインスタンシエート済みレジスタのインスタンス名です プロパティリファレンスガイド japan.xilinx.com 11

12 BEL VHDL の構文例 -- Designates instantiated register instance placed_reg to be placed in FF site A5FF attribute BEL of placed_reg : label is "A5FF"; 推論済みインスタンスの場合 VHDL 属性は次のように指定します attribute BEL of signal_name : signal is "site_name"; signal_name は LUT SRL LUTRAM などの推論済みレジスタの信号名です VHDL の構文例 -- Designates instantiated register instance placed_reg to be placed in FF site A5FF attribute BEL of placed_reg : signal is "A5FF"; XDC 構文 set_property BEL site_name [get_cells instance_name] instance_name はレジスタ LUT SRL または LUTRAM インスタンスです XDC の構文例 # Designates placed_reg to be placed in FF site A5FF set_property BEL A5FF [get_cells placed_reg] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 デザインのフロアプラン place_design 関連項目 LOC プロパティリファレンスガイド japan.xilinx.com 12

13 CLOCK_DEDICATED_ROUTE CLOCK_DEDICATED_ROUTE CLOCK_DEDICATED_ROUTE を使用すると クロックソースがそのロードクロックバッファーに比べて不適切な箇所に配置されている場合に クロック配置の DRC をエラーから警告に変更できます 注意 : CLOCK_DEDICATED_ROUTE を False にすると クロック遅延に問題が出て 潜在的なタイミングおよびその他の問題が発生することがあります アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ネット (get_nets) 値 グローバルクロックバッファー (BUFG BUFGCE BUFGMUX BUGCTRL) の入力に接続されたネット TRUE FALSE BACKBONE 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 該当なし VHDL 構文 該当なし XDC 構文 set_property CLOCK_DEDICATED_ROUTE value [get_nets net_name] net_name は グローバルクロックバッファーの入力に接続された信号名です XDC の構文例 # Designates clk_net to have relaxed clock placement rules set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_net] プロパティリファレンスガイド japan.xilinx.com 13

14 CLOCK_DEDICATED_ROUTE 影響のある処理 place_design DRC プロパティリファレンスガイド japan.xilinx.com 14

15 COMPATIBLE_CONFIG_MODES COMPATIBLE_CONFIG_MODES COMPATIBLE_CONFIG_MODES では どのコンフィギュレーションモードをピン割り当ておよび適切な DRC メッセージに使用するかを指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント デザイン (current_design) 値 Slave Serial Slave Serial Mode Master Serial Master Serial Mode Slave SelectMap x8 Slave SelectMAP Mode, 8-bit width Master SelectMap x8 Master SelectMAP Mode, 8-bit width JTAG/Boundary Scan (default) Boundary Scan Mode Master SelectMap x16 Master SelectMAP Mode, 16-bit width Slave SelectMap x32 Slave SelectMAP Mode, 32-bit width Slave SelectMap x16 Slave SelectMAP Mode, 16-bit width Master SPI x1 Serial Peripheral Interface, 1-bit width Master SPI x2 Serial Peripheral Interface, 2-bit width Master SPI x4 Serial Peripheral Interface, 4-bit width Master BPI-Up x8 Byte Peripheral Interface (Parallel NOR), 8-bit width Master BPI-Up x16 Byte Peripheral Interface (Parallel NOR), 8-bit width プロパティリファレンスガイド japan.xilinx.com 15

16 COMPATIBLE_CONFIG_MODES 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 該当なし VHDL 構文 該当なし XDC 構文 set_property COMPATIBLE_CONFIG_MODES {value(s)} [current_design] 複数のコンフィギュレーションモード値を指定可能 XDC の構文例 # Specify using Configuration Mode Serial Peripheral Interface, 4-bit width set_property COMPATIBLE_CONFIG_MODES {{Master SPI x4}} [current_design] 影響のある処理 I/O 配置 place_design DRC プロパティリファレンスガイド japan.xilinx.com 16

17 DCI_CASCADE DCI_CASCADE DCI_CASCADE では どの隣接バンクが DCI カスケード機能を使用するかを指定し 基準抵抗がマスターバンクと共有されます DCI_CASCADE ではマスターバンク およびこの機能の関連するすべてのスレーブバンクを指定します アーキテクチャサポート Kintex -7 デバイス Virtex -7 デバイス 大型 Zynq デバイス (XCZ030 および XC7Z045) 適用可能エレメント I/O バンク (get_iobanks) 値 High Performance (HP) バンクタイプ 有効な High Performance (HP) バンク番号 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 該当なし VHDL 構文 該当なし XDC 構文 set_property DCI_CASCADE {slave_banks} [get_iobanks master_bank] slave_banks はスレーブバンクのバンク番号のリストです master_bank は指定されたマスターバンクのバンク番号です XDC の構文例 # Designate Bank 14 as a master DCI Cascade bank and Banks 15 and 16 as its slaves set_property DCI_CASCADE {15 16} [get_iobanks 14] プロパティリファレンスガイド japan.xilinx.com 17

18 DCI_CASCADE 影響のある処理 I/O 配置 place_design DRC write_bitstream report_power 関連項目 DCI_VALUE プロパティリファレンスガイド japan.xilinx.com 18

19 DIFF_TERM DIFF_TERM DIFF_TERM では 差動入力および双方向バッファーで使用される差動終端を指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ポート (get_ports) 差動入力バッファーに接続された入力ポートまたは双方向ポート セル (get_cells) 値 差動入力または双方向バッファー ( すべての IBUFDS および IOBUFDS) FALSE ( デフォルト ) 差動終端はディスエーブルになります TRUE 構文 差動終端はイネーブルになります 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 DIFF_TERM を設定するには インスタンシエート済み差動バッファーに DIFF_TERM パラメーターを割り当てます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください Verilog の構文例 次の例では clk_ibufds という IBUFDS インスタンスで差動終端をイネーブルにしています // IBUFDS:Differential Input Buffer // Virtex-7 // Xilinx HDL Language Template, version IBUFDS #(.DIFF_TERM("TRUE"), // Differential Termination プロパティリファレンスガイド japan.xilinx.com 19

20 DIFF_TERM VHDL 構文.IBUF_LOW_PWR("TRUE"), // Low power="true", Highest performance="false".iostandard("default") // Specify the input I/O standard ) clk_ibufds (.O(clk), // Buffer output.i(clk_p), // Diff_p buffer input (connect directly to top-level port).ib(clk_n) // Diff_n buffer input (connect directly to top-level port) ); // End of clk_ibufds instantiation DIFF_TERM を設定するには インスタンシエート済み差動バッファーに DIFF_TERM ジェネリックを割り当てます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください VHDL の構文例 次の例では clk_ibufds という IBUFDS インスタンスで差動終端をイネーブルにしています -- IBUFDS:Differential Input Buffer -- Virtex-7 -- Xilinx HDL Language Template, version clk_ibufds :IBUFDS generic map ( DIFF_TERM => TRUE, -- Differential Termination IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards IOSTANDARD => "DEFAULT") port map ( O => clk, -- Buffer output I => CLK_p, -- Diff_p buffer input (connect directly to top-level port) IB => CLK_n -- Diff_n buffer input (connect directly to top-level port) ); -- End of clk_ibufds instantiation XDC 構文 set_property DIFF_TERM TRUE [get_ports port_name] port_name は差動バッファーに接続される入力ポートまたは双方向ポートです XDC の構文例 # Enables differential termination on port named CLK_p set_property DIFF_TERM TRUE [get_ports CLK_p] その他の XDC の構文例 このプロパティは バッファーインスタンスに適用できます set_property DIFF_TERM TRUE [get_cells instance_name] instance_name は入力または双方向差動バッファーインスタンスです プロパティリファレンスガイド japan.xilinx.com 20

21 DIFF_TERM # Enables differential termination on buffer instance clk_ibufds set_property DIFF_TERM TRUE [get_ports clk_ibufds] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 I/O 配置 [Report Noise] [Report Power] 関連項目 7 シリーズライブラリガイド (HDL 用 ) (UG768) IBUFDS IBUFDS_INTERMDISABLE IBUFDS_DIFF_OUT IBUFDS_DIFF_OUT_IBUFDISABLE IBUFDS_DIFF_OUT_INTERMDISABLE IOBUFDS IOBUFDS_DCIEN IOBUFDS_DIFF_OUT IOBUFDS_DIFF_OUT_DCIEN IOBUFDS_DIFF_OUT_INTERMDISABLE ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 21

22 DONT_TOUCH DONT_TOUCH DONT_TOUCH は ユーザー階層またはインスタンシエート済みコンポーネントを最適化しないように指定するもので これにより最適化がバウンダリを超えて実行されないようになります これでフロアプラン 解析 デバッグがしやすくなりますが 最適化が抑止されるので デザインが大きく 遅くなってしまうことがあります 推奨 : DONT_TOUCH が適用されているモジュールインスタンスの出力すべてにレジスタを付けます この属性は 合成前に適用すると最も効果的です アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) 値 ユーザー定義のインスタンス FALSE ( デフォルト ) 階層を超えて最適化されます TRUE 構文 最適化が階層バウンダリを超えないようになり 階層が保持されます 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性をユーザーの階層インスタンシエーションの直前に配置します (* DONT_TOUCH = "{TRUE FALSE}" *) Verilog の構文例 // Preserve the hierarchy of instance CLK1_rst_sync (* DONT_TOUCH = "TRUE" *) reset_sync #(.STAGES(5) ) CLK1_rst_sync (.RST_IN(RST ~LOCKED),.CLK(clk1_100mhz),.RST_OUT(rst_clk1) ); プロパティリファレンスガイド japan.xilinx.com 22

23 DONT_TOUCH VHDL 構文 VHDL 属性は次のように宣言します attribute DONT_TOUCH : string; VHDL 属性は次のように指定します attribute DONT_TOUCH of name: label is "{TRUE FALSE}"; name はユーザー定義のインスタンスの名前です VHDL の構文例 attribute DONT_TOUCH : string; -- Preserve the hierarchy of instance CLK1_rst_sync attribute DONT_TOUCH of CLK1_rst_sync: label is "TRUE"; CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 ); XDC 構文 set_property DONT_TOUCH {TRUE FALSE} [get_cells instance_name] instance_name はレジスタインスタンスです XDC の構文例 # Preserve the hierarchy of instance CLK1_rst_sync set_property DONT_TOUCH TRUE [get_cells CLK1_rst_sync] 影響のある処理 synth_design opt_design phys_opt_design フロアプラン プロパティリファレンスガイド japan.xilinx.com 23

24 DRIVE DRIVE DRIVE は プログラマブル出力駆動電流をサポートする I/O 規格でコンフィギュレーションされた出力バッファーに対し 出力バッファーの駆動電流を ma で指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ポート (get_ports) 接続された出力ポートまたは双方向ポート セル (get_cells) 値 整数値 : 出力バッファー ( すべての OBUF) 12 ( デフォルト ) 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 I/O バッファーを推論する際にこの属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* DRIVE = "{ }" *) Verilog の構文例 // Sets the drive strength on the STATUS output port to 2 ma (* DRIVE = "2" *) output STATUS, プロパティリファレンスガイド japan.xilinx.com 24

25 DRIVE その他の Verilog の構文例 出力または双方向バッファーがインスタンシエートされる場合 インスタンシエート済み出力バッファーに DRIVE パラメーターを割り当てると DRIVE を設定できます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください 次の例では status_obuf という名前の OBUF インスタンスに駆動電流を 2mA 設定しています // OBUF:Single-ended Output Buffer // Virtex-7 // Xilinx HDL Language Template, version OBUF #(.DRIVE(2), // Specify the output drive strength.iostandard("default"), // Specify the output I/O standard.slew("slow") // Specify the output slew rate ) status_obuf (.O(STATUS), // Buffer output (connect directly to top-level port).i(status_int) // Buffer input ); // End of status_obuf instantiation VHDL 構文 I/O バッファーを推論する際にこの属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute DRIVE : integer; VHDL 属性は次のように指定します attribute DRIVE of port_name : signal is value; port_name は最上位出力ポートです VHDL の構文例 STATUS : out std_logic; attribute DRIVE : integer; -- Sets the drive strength on the STATUS output port to 2 ma attribute DRIVE of STATUS : signal is 2; その他の VHDL の構文例 出力または双方向バッファーがインスタンシエートされる場合 インスタンシエート済み出力バッファーに DRIVE ジェネリックを割り当てると DRIVE を設定できます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 25

26 DRIVE 次の例では status_obuf という OBUF インスタンスの駆動電流を 2 ma に設定しています -- OBUF:Single-ended Output Buffer -- Virtex-7 -- Xilinx HDL Language Template, version status_obuf :OBUF generic map ( DRIVE => 2, IOSTANDARD => "DEFAULT", SLEW => "SLOW") port map ( O => STATUS, -- Buffer output (connect directly to top-level port) I => status_int -- Buffer input ); -- End of status_obuf instantiation XDC 構文 set_property DRIVE value [get_ports port_name] port_name は出力または双方向ポートです XDC の構文例 # Sets the drive strength of the port STATUS to 2 ma set_property DRIVE 2 [get_ports STATUS] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 I/O 配置 [Report Noise] [Report Power] 関連項目 7 シリーズライブラリガイド (HDL 用 ) (UG768) OBUF OBUFT IOBUF IOBUF_DCIEN IOBUF_INTERM_DISABLE ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 26

27 HIODELAY_GROUP HIODELAY_GROUP HIODELAY_GROUP は IDELAYCTRL コンポーネントを関連する IDELAY または ODELAY インスタンスと一緒のグループにし 配置および複製が適切に行われるようにするプロパティです HIODELAY_GROUP と IODELAY_GROUP の相違点 HIODELAY_GROUP は各階層ごとに独自のものです HIODELAY_GROUP は 次の場合に使用します IDELAYCTRL を含むモジュールに複数のインスタンスが含まれることが予測される場合 および その他の論理階層では そのインスタンスと IDELAY または ODELAY インスタンスを一緒のグループにする予定がない場合 アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) 値 IDELAY ODELAY または IDELAYCTRL インスタンス 指定したグループ名 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性は IDELAY ODELAY または IDELAYCTRL のインスタンシエーション直前に配置します (* HIODELAY_GROUP = "value" *) Verilog の構文例 // Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL // IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control // Virtex-7 // Xilinx HDL Language Template, version (* HIODELAY_GROUP = DDR_INTERFACE *) // Specifies group name for associated IDELAYs/ODELAYs and IDELAYCTRL IDELAYCTRL DDR_IDELAYCTRL_inst (.RDY(), // 1-bit output:ready output プロパティリファレンスガイド japan.xilinx.com 27

28 HIODELAY_GROUP VHDL 構文.REFCLK(REFCLK), // 1-bit input:reference clock input.rst(1 b0) // 1-bit input:active high reset input ); // End of DDR_IDELAYCTRL_inst instantiation VHDL 属性は次のように宣言します attribute HIODELAY_GROUP : string; インスタンシエート済みインスタンスの場合は 次のように指定します attribute HIODELAY_GROUP of instance_name : label is "group_name"; instance_name はインスタンシエート済みの IDELAY ODELAY または IDELAYCTRL のインスタンス名です VHDL の構文例 // Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL attribute HIODELAY_GROUP :STRING; attribute HIODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE"; begin -- IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version DDR_IDELAYCTRL_inst :IDELAYCTRL port map ( XDC 構文 RDY => open, -- 1-bit output:ready output REFCLK => REFCLK, -- 1-bit input:reference clock input RST => bit input:active high reset input ); -- End of DDR_IDELAYCTRL_inst instantiation set_property HIODELAY_GROUP group_name [get_cells instance_name] instance_name は IDELAY ODELAY または IDELAYCTRL のインスタンス名です XDC の構文例 # Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL set_property HIODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 place_design プロパティリファレンスガイド japan.xilinx.com 28

29 HIODELAY_GROUP 関連項目 IODELAY_GROUP 7 シリーズライブラリガイド (HDL 用 ) (UG768) IDELAYCTRL IDELAYE2 ODELAYE2 ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 29

30 HLUTNM HLUTNM HLUTNM では 互換性のある入力を含む 2 つの LUT5 SRL16 または LUTRAM コンポーネントを同じ LUT6 サイトに配置するよう指定できます 各階層ごとに HLUTNM ペアを指定します 2 つ共が同じグループ名で互換性のあるインスタンスタイプである必要があります HLUTNM と LUTNM の相違点 HLUTNM は各階層ごとに独自のものです 一緒のグループにする LUT コンポーネントを含むモジュールに複数インスタンスが含まれる場合は HLUTNM を使用します 別の階層にある 2 つの LUT コンポーネントを一緒のグループにする場合は LUTNM を使用します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) LUT (LUT1 LUT2 LUT3 LUT4 LUT5) SRL (SRL16E) LUTRAM (RAM32X1D RAM32X1S) 値 一意のグループ名 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性を LUT のインスタンシエーション直前に配置します Verilog 属性は 同じ論理階層のペアで使用する必要があります (* HLUTNM = "group_name" *) Verilog の構文例 // Designates state0_inst to be placed in same LUT6 as state1_inst // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version プロパティリファレンスガイド japan.xilinx.com 30

31 HLUTNM VHDL 構文 (* HLUTNM = "LUT_group1" *) LUT5 #(.INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst (.O(state_out[0]), // LUT general output.i0(state_in[0]), // LUT input.i1(state_in[1]), // LUT input.i2(state_in[2]), // LUT input.i3(state_in[3]), // LUT input.i4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version (* HLUTNM = "LUT_group1" *) LUT5 #(.INIT(32'h ) // Specify LUT Contents ) state1_inst (.O(state_out[1]), // LUT general output.i0(state_in[0]), // LUT input.i1(state_in[1]), // LUT input.i2(state_in[2]), // LUT input.i3(state_in[3]), // LUT input.i4(state_in[4]) // LUT input ); // End of state1_inst instantiation VHDL 属性は次のように宣言します attribute HLUTNM : string; インスタンシエート済みインスタンスの場合は 次のように指定します attribute HLUTNM of instance_name : label is "group_name"; instance_name は LUT1 LUT2 LUT3 LUT4 LUT5 SRL16 または LUTRAM インスタンスです VHDL 属性は 同じ論理階層のペアで使用する必要があります VHDL の構文例 -- Designates state0_inst to be placed in same LUT6 as state1_inst attribute HLUTNM : string; attribute HLUTNM of state0_inst : label is "LUT_group1"; attribute HLUTNM of state1_inst : label is "LUT_group1"; begin -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version state0_inst :LUT5 generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input プロパティリファレンスガイド japan.xilinx.com 31

32 HLUTNM XDC 構文 I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version State1_inst :LUT5 generic map ( INIT => X" ") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation set_property HLUTNM group_name [get_cells instance_name] instance_name は LUT1 LUT2 LUT3 LUT4 LUT5 SRL16 または LUTRAM インスタンスです XDC の構文例 # Designates state0_inst LUT5 to be placed in same LUT6 as state1_inst set_property HLUTNM LUT_group1 [get_cells state0_inst] set_property HLUTNM LUT_group1 [get_cells state1_inst] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 place_design 関連項目 LUTNM プロパティリファレンスガイド japan.xilinx.com 32

33 IN_TERM IN_TERM IN_TERM では キャリブレートされていない入力終端のインピーダンス値を指定します IN_TERM は High Range (HR) バンク入力でのみサポートされます High Performance (HP) バンクの入力の場合 オンチップ終端の DCI (Digital Controlled Impedance) 回路を使用します アーキテクチャサポート すべてのアーキテクチャ (High Range (HR) バンク入力でのみ ) 適用可能エレメント ポート (get_ports) 接続された入力ポートまたは双方向ポート セル (get_cells) 値 入力バッファー ( すべての IBUF) NONE ( デフォルト ) UNTUNED_SPLIT_25 UNTUNED_SPLIT_50 UNTINED_SPLIT_75 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 この属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* IN_TERM = "{NONE UNTUNED_SPLIT_25 UNTUNED_SPLIT_50 UNTUNED_SPLIT_75}" *) Verilog の構文例 // Sets an on-chip input impedance of 50 Ohms to input ACT5 (* IN_TERM = "UNTUNED_SPLIT_50" *) input ACT5, VHDL 構文 この属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute IN_TERM : string; プロパティリファレンスガイド japan.xilinx.com 33

34 IN_TERM VHDL 属性は次のように指定します attribute IN_TERM of port_name : signal is value; port_name は最上位出力ポートです VHDL の構文例 ACT5 : in std_logic; attribute IN_TERM : string; -- Sets an on-chip input impedance of 50 Ohms to input ACT5 attribute IN_TERM of ACT5 : signal is UNTUNED_SPLIT_50 ; XDC 構文 set_property IN_TERM value [get_ports port_name] port_name は出力または双方向ポートです XDC の構文例 # Sets an on-chip input impedance of 50 Ohms to input ACT5 set_property IN_TERM UNTUNED_SPLIT_50 [get_ports ACT5] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 I/O 配置 ノイズのレポート 消費電力のレポート 関連項目 DCI_VALUE プロパティリファレンスガイド japan.xilinx.com 34

35 INTERNAL_VREF INTERNAL_VREF INTERNAL_VREF は バンクの内部レギュレーターの使用を指定して 基準電圧を必要とする規格の電圧基準を提供します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント I/O バンク (get_iobanks) 値 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 該当なし VHDL 構文 該当なし XDC 構文 set_property INTERNAL_VREF {value} [get_iobanks bank] value は基準電圧値です XDC の構文例 # Designate Bank 14 to have a reference voltage of 0.75 Volts set_property INTERNAL_VREF 0.75 [get_iobanks 14] プロパティリファレンスガイド japan.xilinx.com 35

36 INTERNAL_VREF 影響のある処理 I/O 配置 place_design DRC report_power プロパティリファレンスガイド japan.xilinx.com 36

37 IOB IOB IOB では 入力または出力ロジックにレジスタを配置するように指定して I/O タイミングを改善できます アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ポート (get_ports) レジスタに接続されるポートすべて セル (get_cells) 最上位ポートに直接接続されるレジスタ 値 FALSE ( デフォルト ) TRUE 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 この属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* IOB = "{TRUE FALSE}" *) Verilog の構文例 // Place the register connected to ACK in the input logic site (* IOB = "TRUE" *) input ACK, その他の Verilog の構文例 IOB 属性は 最上位ポートに接続されたインスタンシエート済みまたは推論済みレジスタに配置できます Place the register connected to ACK in the input logic site. input ACK; (* IOB = TRUE *) reg ack_reg = 1 b0; CLK) ack_reg = 1 b0; プロパティリファレンスガイド japan.xilinx.com 37

38 IOB VHDL 構文 この属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute IOB : string; VHDL 属性は次のように指定します attribute IOB of <port_name>: signal is "{TRUE FALSE}"; port_name は最上位出力ポートです VHDL の構文例 ACK : in std_logic; attribute IOB : string; -- Place the register connected to ACK in the input logic site attribute IOB of ACK: signal is "TRUE"; その他の VHDL の構文例 IOB 属性は 最上位ポートに接続されたインスタンシエート済みまたは推論済みレジスタに配置できます 入力ロジックサイトの ACK に接続されたレジスタを配置します XDC 構文 set_property IOB value [get_ports port_name] value は TRUE または FALSE です XDC の構文例 # Place the register connected to ACK in the input logic site set_property IOB TRUE [get_ports ACK] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 place_design プロパティリファレンスガイド japan.xilinx.com 38

39 IODELAY_GROUP IODELAY_GROUP IODELAY_GROUP は IDELAYCTRL コンポーネントを関連する IDELAY および ODELAY インスタンスと一緒のグループにし 配置および複製が適切に行われるようにします IODELAY_GROUP と HIODELAY_GROUP の相違点 IODELAY_GROUP では異なる階層のエレメントを一緒のグループにできます IODELAY_GROUP を使用すると 異なる階層にある I/O 遅延コンポーネントを一緒のグループにできます アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) 値 IDELAY ODELAY または IDELAYCTRL インスタンス 指定したグループ名 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性は IDELAY ODELAY または IDELAYCTRL のインスタンシエーション直前に配置します (* IODELAY_GROUP = "value" *) Verilog の構文例 // Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL // IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control // Virtex-7 // Xilinx HDL Language Template, version (* IODELAY_GROUP = DDR_INTERFACE *) // Specifies group name for associated IDELAYs/ODELAYs and IDELAYCTRL IDELAYCTRL DDR_IDELAYCTRL_inst (.RDY(), // 1-bit output:ready output.refclk(refclk), // 1-bit input:reference clock input.rst(1 b0) // 1-bit input:active high reset input ); // End of DDR_IDELAYCTRL_inst instantiation プロパティリファレンスガイド japan.xilinx.com 39

40 IODELAY_GROUP VHDL 構文 VHDL 属性は次のように宣言します attribute IODELAY_GROUP : string; インスタンシエート済みインスタンスの場合は 次のように指定します attribute IODELAY_GROUP of instance_name : label is "group_name"; instance_name はインスタンシエート済みの IDELAY ODELAY または IDELAYCTRL のインスタンス名です VHDL の構文例 // Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL attribute IODELAY_GROUP :STRING; attribute IODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE"; begin -- IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version DDR_IDELAYCTRL_inst :IDELAYCTRL port map ( XDC 構文 RDY => open, -- 1-bit output:ready output REFCLK => REFCLK, -- 1-bit input:reference clock input RST => bit input:active high reset input ); -- End of DDR_IDELAYCTRL_inst instantiation set_property IODELAY_GROUP group_name [get_cells instance_name] instance_name は IDELAY ODELAY または IDELAYCTRL のインスタンス名です XDC の構文例 # Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL set_property IODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 place_design プロパティリファレンスガイド japan.xilinx.com 40

41 IODELAY_GROUP 関連項目 HIODELAY_GROUP 7 シリーズライブラリガイド (HDL 用 ) (UG768) IDELAYCTRL IDELAYE2 ODELAYE2 ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 41

42 IOSTANDARD IOSTANDARD IOSTANDARD では 入力 出力 または双方向ポートをコンフィギュレーションするのに どのプログラマブル I./O 規格を使用するかを指定します ビットストリームを作成するには IOSTANDARD をすべてのポートに指定する必要があります アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ポート (get_ports) すべてのポート セル (get_cells) 値 I/O バッファー (IBUF OBUF IOBUF) 有効な I/O 規格 有効な値については デバイスの SelectIO リソースユーザーガイド を参照してください ヒント : 参考資料については 付録 A その他のリソース を参照してください 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 I/O バッファーを推論する際にこの属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* IOSTANDARD = "value" *) Verilog の構文例 // Sets the I/O Standard on the STATUS output to LVCMOS12 (* IOSTANDARD = "LVCMOS12" *) output STATUS, その他の Verilog の構文例 I/O バッファーがインスタンシエートされる場合 インスタンシエート済み出力バッファーに IOSTANDARD パラメーターを割り当てると IOSTANDARD を設定できます プロパティリファレンスガイド japan.xilinx.com 42

43 IOSTANDARD 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください 次の例では LVCMOS12 への STATUS 出力の I/O 規格を設定しています // OBUF:Single-ended Output Buffer // Virtex-7 // Xilinx HDL Language Template, version OBUF #(.DRIVE(12), // Specify the output drive strength.iostandard("lvcmos12"), // Specify the output I/O standard.slew("slow") // Specify the output slew rate ) status_obuf (.O(STATUS), // Buffer output (connect directly to top-level port).i(status_int) // Buffer input ); // End of status_obuf instantiation VHDL 構文 I/O バッファーを推論する際にこの属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute IOSTANDARD : string; VHDL 属性は次のように指定します attribute IOSTANDARD of <port_name>: signal is "<standard>"; port_name は最上位出力ポートです VHDL の構文例 STATUS : out std_logic; attribute IOSTANDARD : string; -- Sets the I/O Standard on the STATUS output to LVCMOS12 attribute IOSTANDARD of STATUS: signal is "LVCMOS12"; その他の VHDL の構文例 I/O バッファーがインスタンシエートされたときに IOSTANDARD を設定するには インスタンシエート済み I/O バッファーに IOSTANDARD ジェネリックを割り当てます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください 次の例では LVCMOS12 への STATUS 出力の I/O 規格を設定しています -- OBUF:Single-ended Output Buffer -- Virtex-7 プロパティリファレンスガイド japan.xilinx.com 43

44 IOSTANDARD -- Xilinx HDL Language Template, version status_obuf :OBUF generic map ( DRIVE => 12, IOSTANDARD => "LVCMOS12", SLEW => "SLOW") port map ( O => STATUS, -- Buffer output (connect directly to top-level port) I => status_int -- Buffer input ); -- End of status_obuf instantiation XDC 構文 set_property IOSTANDARD value [get_ports port_name] port_name は最上位ポートです XDC の構文例 # Sets the I/O Standard on the STATUS output to LVCMOS12 set_property IOSTANDARD LVCMOS12 [get_ports STATUS] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 I/O 配置 ノイズのレポート 消費電力のレポート DRC のレポート place_design 関連項目 7 シリーズライブラリガイド (HDL 用 ) (UG768) OBUF OBUFT IOBUF IOBUF_DCIEN IOBUF_INTERM_DISABLE 有効な値については デバイスの SelectIO リソースユーザーガイド を参照してください ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 44

45 KEEP_HIERARCHY KEEP_HIERARCHY KEEP_HIERARCHY は ユーザー階層の維持を指定するもので これにより最適化がバウンダリを超えて実行されないようになります これでフロアプラン 解析 デバッグがしやすくなりますが 最適化が抑止されるので デザインが大きく 遅くなってしまうことがあります 推奨 : 悪影響の出ないようにするには KEEP_HIERARCHY が適用されているモジュールインスタンスの出力すべてにレジスタを付けます この属性は 合成前に適用すると最も効果的です アーキテクチャサポート すべて 適用可能エレメント セル (get_cells) 値 ユーザー定義のインスタンス FALSE ( デフォルト ) 階層を超えて最適化されます TRUE 構文 最適化が階層バウンダリを超えないようになり 階層が保持されます 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性をユーザーの階層インスタンシエーションの直前に配置します (* KEEP_HIERARCHY = "{TRUE FALSE}" *) Verilog の構文例 // Preserve the hierarchy of instance CLK1_rst_sync (* KEEP_HIERARCHY = "TRUE" *) reset_sync #(.STAGES(5) ) CLK1_rst_sync (.RST_IN(RST ~LOCKED),.CLK(clk1_100mhz),.RST_OUT(rst_clk1) ); プロパティリファレンスガイド japan.xilinx.com 45

46 KEEP_HIERARCHY VHDL 構文 VHDL 属性は次のように宣言します attribute KEEP_HIERARCHY : string; VHDL 属性は次のように指定します attribute KEEP_HIERACHRY of name: label is "{TRUE FALSE}"; name はユーザー定義のインスタンスの名前です VHDL の構文例 attribute KEEP_HIERARCHY : string; -- Preserve the hierarchy of instance CLK1_rst_sync attribute KEEP_HIERARCHY of CLK1_rst_sync: label is "TRUE"; CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 ); XDC 構文 set_property KEEP_HIERARCHY {TRUE FALSE} [get_cells instance_name] instance_name はレジスタインスタンスです XDC の構文例 # Preserve the hierarchy of instance CLK1_rst_sync set_property KEEP_HIERARCHY TRUE [get_cells CLK1_rst_sync] 影響のある処理 synth_design opt_design phys_opt_design floorplanning プロパティリファレンスガイド japan.xilinx.com 46

47 LOC LOC LOC では デバイス内のプリミティブコンポーネントの特定の配置を指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) 値 プリミティブセルすべて サイト名 (SLICE_X15Y14 または RAMB18_X6Y9 など ) 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性はコンポーネントのインスタンシエーション直前に配置します Verilog 属性は reg が 1 つのデバイスサイトに配置できる場合は 推論済みレジスタの SRL または LUTRAM の reg 宣言前にも配置できます (* LOC = "site_name" *) // Designates placed_reg to be placed in Slice site SLICE_X0Y0 (* LOC = "SLICE_X0Y0" *) reg placed_reg; VHDL 構文 VHDL 属性は次のように宣言します attribute LOC : string; インスタンシエート済みインスタンスの場合は 次のように指定します attribute LOC of instance_name : label is "site_name"; instance_name はインスタンシエート済みプリミティブのインスタンス名です VHDL の構文例 -- Designates instantiated register instance placed_reg to be placed -- in Slice site SLICE_X0Y0 attribute LOC of placed_reg : label is "SLICE_X0Y0"; プロパティリファレンスガイド japan.xilinx.com 47

48 LOC 推論済みインスタンスの場合 VHDL 属性は次のように指定します attribute LOC of signal_name : signal is "site_name"; signal_name は 1 つのサイトに配置可能な推論済みプリミティブの信号名です VHDL の構文例 -- Designates inferred register placed_reg to be placed in Slice site SLICE_X0Y0 attribute LOC of placed_reg : signal is "SLICE_X0Y0"; XDC 構文 set_property LOC site_name [get_cells instance_name] instance_name はプリミティブインスタンスです XDC の構文例 # Designates placed_reg to be placed in Slice site SLICE_X0Y0 set_property LOC SLICE_X0Y0 [get_cells placed_reg] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 デザインのフロアプラン place_design 関連項目 BEL PACKAGE_PIN プロパティリファレンスガイド japan.xilinx.com 48

49 LUTNM LUTNM LUTNM では 互換性のある入力を含む 2 つの LUT5 SRL16 または LUTRAM コンポーネントを同じ LUT6 サイトに配置するよう指定できます LUTNM はペアで指定する必要があり 2 つ共が同じグループ名で互換性のあるインスタンスタイプである必要があります LUTNM と HLUTNM の相違点 LUTNM は 別のユーザー階層にある 2 つの LUTNM コンポーネントを統合するために使用できます 同じユーザー階層にある 2 つの LUT コンポーネントを一緒のグループにする場合は HLUTNM を使用します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント セル (get_cells) LUT (LUT1 LUT2 LUT3 LUT4 LUT5) SRL (SRL16E) LUTRAM (RAM32X1D RAM32X1S) 値 一意のグループ名 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性は LUT のインスタンシエーション直前に配置します Verilog 属性は 同じ論理階層のペアで使用する必要があります (* LUTNM = "group_name" *) Verilog の構文例 // Designates state0_inst to be placed in same LUT6 as state1_inst // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version (* LUTNM = "LUT_group1" *) LUT5 #(.INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst (.O(state_out[0]), // LUT general outpu プロパティリファレンスガイド japan.xilinx.com 49

50 LUTNM VHDL 構文.I0(state_in[0]), // LUT input.i1(state_in[1]), // LUT input.i2(state_in[2]), // LUT input.i3(state_in[3]), // LUT input.i4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version (* LUTNM = "LUT_group1" *) LUT5 #(.INIT(32'h ) // Specify LUT Contents ) state1_inst (.O(state_out[1]), // LUT general output.i0(state_in[0]), // LUT input.i1(state_in[1]), // LUT input.i2(state_in[2]), // LUT input.i3(state_in[3]), // LUT input.i4(state_in[4]) // LUT input ); // End of state1_inst instantiation VHDL 属性は次のように宣言します attribute LUTNM : string; インスタンシエート済みインスタンスの場合は 次のように指定します attribute IODELAY_GROUP of instance_name : label is "group_name"; instance_name は LUT1 LUT2 LUT3 LUT4 LUT5 SRL16 または LUTRAM インスタンスです VHDL 属性は 同じ論理階層のペアで使用する必要があります VHDL の構文例 -- Designates state0_inst to be placed in same LUT6 as state1_inst attribute LUTNM : string; attribute LUTNM of state0_inst : label is "LUT_group1"; attribute LUTNM of state1_inst : label is "LUT_group1"; begin -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version state0_inst :LUT5 generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) プロパティリファレンスガイド japan.xilinx.com 50

51 LUTNM XDC 構文 -- Virtex-7 -- Xilinx HDL Language Template, version State1_inst :LUT5 generic map ( INIT => X" ") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation set_property LUTNM group_name [get_cells instance_name] instance_name は LUT1 LUT2 LUT3 LUT4 LUT5 SRL16 または LUTRAM インスタンスです XDC の構文例 # Designates state0_inst LUT5 to be placed in same LUT6 as state1_inst set_property LUTNM LUT_group1 [get_cells U1/state0_inst] set_property LUTNM LUT_group1 [get_cells U2/state1_inst] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 place_design 関連項目 HLUTNM プロパティリファレンスガイド japan.xilinx.com 51

52 MARK_DEBUG MARK_DEBUG MARK_DEBUG を使用すると ChipScope ツールを使用してネットをデバッグする必要があることが指定できます これにより その信号に対して実行されていた可能性のある最適化が実行されない可能性がありますが FPGA 操作中にこの信号の値を後で観察しやすくなります アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ネット (get_nets) 値 内部配列にアクセス可能なネットすべて注記 : 専用接続を持つネットやデバッグ目的で視覚化ができないようになっているネットもあります TRUE FALSE 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 この属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* MARK_DEBUG = "{TRUE FALSE}" *) Verilog の構文例 // Marks an internal wire for ChipScope debug (* MARK_DEBUG = "TRUE" *) wire debug_wire, VHDL 構文 この属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute MARK_DEBUG : string; VHDL 属性は次のように指定します attribute MARK_DEBUG of signal_name : signal is {TRUE FALSE} ; signal_name は内部信号です プロパティリファレンスガイド japan.xilinx.com 52

53 MARK_DEBUG VHDL の構文例 signal debug_wire : std_logic; attribute MARK_DEBUG : string; -- Marks an internal wire for ChipScope debug attribute MARK_DEBUG of debug_wire : signal is TRUE ; XDC 構文 set_property MARK_DEBUG value [get_nets net_name] net_name は信号名です XDC の構文例 # Marks an internal wire for ChipScope debug set_property MARK_DEBUG TRUE [get_nets debug_wire] 影響のある処理 place_design ChipScope 関連項目 DONT_TOUCH プロパティリファレンスガイド japan.xilinx.com 53

54 PACKAGE_PIN PACKAGE_PIN PACKAGE_PIN では 論理デザインの最上位ポートの特定配置をデバイスの物理パッケージピンに指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ポート (get_ports) 値 最上位ポート パッケージピン名 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 Verilog 属性をポート宣言の直前に配置します (* PACKAGE_PIN = "pin_name" *) Verilog の構文例 // Designates port CLK to be placed on pin B26 (* PACKAGE_PIN = "B26" *) input CLK; VHDL 構文 VHDL 属性は次のように宣言します attribute PACKAGE_PIN : string; VHDL 属性は次のように指定します attribute PACKAGE_PIN of port_name : signal is "pin_name"; VHDL の構文例 -- Designates CLK to be placed on pin B26 attribute PACKAGE_PIN of CLK : signal is "B26"; プロパティリファレンスガイド japan.xilinx.com 54

55 PACKAGE_PIN XDC 構文 set_property PACKAGE_PIN pin_name [get_ports port_name] XDC の構文例 # Designates CLK to be placed on pin B26 set_property PACKAGE_PIN B26 [get_ports CLK] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 ピン配置 place_design 関連項目 LOC プロパティリファレンスガイド japan.xilinx.com 55

56 PROHIBIT PROHIBIT PROHIBIT では 配置に使用できないピンまたはサイトを指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント サイト (get_sites) BEL (get_bels) 値 1 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 該当なし VHDL 構文 該当なし XDC 構文 set_property PROHIBIT 1 [get_sites site] XDC の構文例 # Prohibit the use of package pin Y32 set_property prohibit 1 [get_sites Y32] 影響のある処理 I/O 配置 place_design プロパティリファレンスガイド japan.xilinx.com 56

57 SLEW SLEW SLEW は プログラマブル出力スルーレートをサポートする I/O 規格でコンフィギュレーションされた出力バッファーに対し 出力バッファーのスルーレートを指定します アーキテクチャサポート すべてのアーキテクチャ 適用可能エレメント ポート (get_ports) 接続された出力ポートまたは双方向ポート セル (get_cells) 値 出力バッファー ( すべての OBUF) SLOW ( デフォルト ) FAST 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 I/O バッファーを推論する際にこの属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* DRIVE = "{SLOW FAST}" *) Verilog の構文例 // Sets the Slew rate to be FAST (* SLEW = "FAST" *) output FAST_DATA, その他の Verilog の構文例 出力または双方向バッファーがインスタンシエートされたときに SLEW を設定するには インスタンシエート済み出力バッファーに SLEW パラメーターを割り当てます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 57

58 SLEW 次の例では fast_data_obuf という名前の OBUF インスタンスのスルーレートを FAST に設定しています // OBUF:Single-ended Output Buffer // Virtex-7 // Xilinx HDL Language Template, version OBUF #(.DRIVE(12), // Specify the output drive strength.iostandard("default"), // Specify the output I/O standard.slew("fast") // Specify the output slew rate ) fast_data_obuf (.O(FAST_DATA), // Buffer output (connect directly to top-level port).i(fast_data_int) // Buffer input ); // End of fast_data_obuf instantiation VHDL 構文 I/O バッファーを推論する際にこの属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute SLEW : string; VHDL 属性は次のように指定します attribute SLEW of port_name : signal is value; port_name は最上位出力ポートです VHDL の構文例 FAST_DATA : out std_logic; attribute SLEW : string; -- Sets the Slew rate to be FAST attribute SLEW of STATUS : signal is FAST ; その他の VHDL の構文例 出力または双方向バッファーがインスタンシエートされたときに SLEW を設定するには インスタンシエート済み出力バッファーに SLEW ジェネリックを割り当てます 推奨 : 言語テンプレートまたは 7 シリーズライブラリガイド (HDL 用 ) (UG768) からのインスタンシエーションテンプレートを使用して 適切な構文を指定してください ヒント : 参考資料については 付録 A その他のリソース を参照してください 次の例では fast_data_obuf という名前の OBUF インスタンスのスルーレートを FAST に設定しています -- OBUF:Single-ended Output Buffer -- Virtex-7 -- Xilinx HDL Language Template, version Fast_data_obuf :OBUF generic map ( DRIVE => 12, IOSTANDARD => "DEFAULT", SLEW => "FAST") port map ( プロパティリファレンスガイド japan.xilinx.com 58

59 SLEW O => FAST_DATA, -- Buffer output (connect directly to top-level port) I => fast_data_int -- Buffer input ); -- End of fast_data_obuf instantiation XDC 構文 set_property SLEW value [get_ports port_name] port_name は出力または双方向ポートです XDC の構文例 # Sets the Slew rate to be FAST set_property SLEW FAST [get_ports FAST_DATA] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 I/O 配置 ノイズのレポート 消費電力のレポート 関連項目 7 シリーズライブラリガイド (HDL 用 ) (UG768) OBUF OBUFT IOBUF IOBUF_DCIEN IOBUF_INTERM_DISABLE ヒント : 参考資料については 付録 A その他のリソース を参照してください プロパティリファレンスガイド japan.xilinx.com 59

60 VCCAUX_IO VCCAUX_IO VCCAUXIO では 指定した I/O の VCCAUX_IO レールの動作電圧を指定します アーキテクチャサポート すべてのアーキテクチャ (High Performance (HP) バンクの I/O のみ ) 適用可能エレメント ポート (get_ports) セル (get_cells) 値 I/O バッファー DONTCARE ( デフォルト ) NORMAL HIGH 構文 重要 : 構文またはコード例をコピーしてコードに直接貼り付ける前に 概要 の PDF 資料からの構文およびコード例のコピー を参照してください Verilog 構文 この属性を設定するには 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します (* VCCAUXIO = "{DONTCARE NORMAL HIGH}" *) Verilog の構文例 // Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/O (* VCCAUX_IO = "HIGH" *) input ACT3, VHDL 構文 この属性を設定するには 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します VHDL 属性は次のように宣言します attribute VCCAUX_IO : string; VHDL 属性は次のように指定します attribute VCCAUX_IO of port_name : signal is value; port_name は最上位ポートです プロパティリファレンスガイド japan.xilinx.com 60

61 VCCAUX_IO VHDL の構文例 ACT3 : in std_logic; attribute VCCAUX_IO : string; -- Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/O attribute VCCAUX_IO of ACT3 : signal is HIGH ; XDC 構文 set_property VCCAUX_IO value [get_ports port_name] port_name は最上位ポートです XDC の構文例 # Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/O set_property VCCAUX_IO HIGH [get_ports ACT3] このプロパティが HDL コードと XDC の両方で設定されている場合 XDC プロパティの方が優先されます 影響のある処理 I/O 配置 place_design 消費電力のレポート プロパティリファレンスガイド japan.xilinx.com 61

62 付録 A その他のリソース ザイリンクスリソース アンサー 資料 ダウンロード フォーラムなどのサポートリソースは 次のザイリンクスサポートサイトを参照してください ザイリンクス資料で使用される用語集は 次を参照してください ソリューションセンター デバイス ツール IP のサポートについては ザイリンクスソリューションセンターを参照してください トピックには デザインアシスタント アドバイザリ トラブルシュートヒントなどが含まれます リファレンス 次の資料は 本書を補足するためのものです Vivado Design Suite 資料ページ : プロパティリファレンスガイド japan.xilinx.com 62

Vivado Design Suite Properties Reference Guide. UG912 (v2012.3) November 16, 2012

Vivado Design Suite Properties Reference Guide. UG912 (v2012.3) November 16, 2012 Vivado Design Suite Properties Reference Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum

More information

Vivado Design Suite Properties Reference Guide. UG912 (v2013.1) March 20, 2013

Vivado Design Suite Properties Reference Guide. UG912 (v2013.1) March 20, 2013 Vivado Design Suite Properties Reference Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum

More information

Cloud Connector 徹底解説. 多様な基盤への展開を可能にするための Citrix Cloud のキーコンポーネント A-5 セールスエンジニアリング本部パートナー SE 部リードシステムズエンジニア. 哲司 (Satoshi Komiyama) Citrix

Cloud Connector 徹底解説. 多様な基盤への展開を可能にするための Citrix Cloud のキーコンポーネント A-5 セールスエンジニアリング本部パートナー SE 部リードシステムズエンジニア. 哲司 (Satoshi Komiyama) Citrix 1 2017 Citrix Cloud Connector 徹底解説 多様な基盤への展開を可能にするための Citrix Cloud のキーコンポーネント A-5 セールスエンジニアリング本部パートナー SE 部リードシステムズエンジニア 小宮山 哲司 (Satoshi Komiyama) 2 2017 Citrix このセッションのもくじ Cloud Connector 徹底解説 Cloud Connector

More information

Yamaha Steinberg USB Driver V for Mac Release Notes

Yamaha Steinberg USB Driver V for Mac Release Notes Yamaha Steinberg USB Driver V1.10.2 for Mac Release Notes Contents System Requirements for Software Main Revisions and Enhancements Legacy Updates System Requirements for Software - Note that the system

More information

携帯電話の 吸収率 (SAR) について / Specific Absorption Rate (SAR) of Mobile Phones

携帯電話の 吸収率 (SAR) について / Specific Absorption Rate (SAR) of Mobile Phones 携帯電話の 吸収率 (SAR) について / Specific Absorption Rate (SAR) of Mobile Phones 1. Z-01K の SAR / About SAR of Z-01K ( 本語 ) この機種 Z-01K の携帯電話機は 国が定めた電波の 体吸収に関する技術基準および電波防護の国際ガイドライン に適合しています この携帯電話機は 国が定めた電波の 体吸収に関する技術基準

More information

携帯電話の 吸収率 (SAR) について / Specific Absorption Rate (SAR) of Mobile Phones

携帯電話の 吸収率 (SAR) について / Specific Absorption Rate (SAR) of Mobile Phones 携帯電話の 吸収率 (SAR) について / Specific Absorption Rate (SAR) of Mobile Phones 1. SC-02L の SAR / About SAR of SC-02L ( 本語 ) この機種 SC-02L の携帯電話機は 国が定めた電波の 体吸収に関する技術基準および電波防護の国際ガイドライ ンに適合しています この携帯電話機は 国が定めた電波の 体吸収に関する技術基準

More information

本書について... 7 本文中の表記について... 7 マークについて... 7 MTCE をインストールする前に... 7 ご注意... 7 推奨 PC 仕様... 8 MTCE をインストールする... 9 MTCE をアンインストールする... 11

本書について... 7 本文中の表記について... 7 マークについて... 7 MTCE をインストールする前に... 7 ご注意... 7 推奨 PC 仕様... 8 MTCE をインストールする... 9 MTCE をアンインストールする... 11 Installation Guide FOR English 2 About this guide... 2 Notations used in this document... 2 Symbols... 2 Before installing MTCE... 2 Notice... 2 Recommended computer specifications... 3 Installing MTCE...

More information

Yamaha Steinberg USB Driver V for Windows Release Notes

Yamaha Steinberg USB Driver V for Windows Release Notes Yamaha Steinberg USB Driver V1.9.11 for Windows Release Notes Contents System Requirements for Software Main Revisions and Enhancements Legacy Updates System Requirements for Software - Note that the system

More information

WD/CD/DIS/FDIS stage

WD/CD/DIS/FDIS stage ISO #### All rights reserved ISO TC ###/SC ##/WG # Secretariat: XXXX テンプレート中 解説に相当する部分の和訳を黄色ボックスにて示します 一般財団法人日本規格協会 Title (Introductory element Main element Part #: Part title) WD/CD/DIS/FDIS stage Warning

More information

Introduction to Information and Communication Technology (a)

Introduction to Information and Communication Technology (a) Introduction to Information and Communication Technology (a) 6 th week: 1.5 Information security and management Kazumasa Yamamoto Dept. Computer Science & Engineering Introduction to ICT(a) 6th week 1

More information

Yamaha Steinberg USB Driver V for Windows Release Notes

Yamaha Steinberg USB Driver V for Windows Release Notes Yamaha Steinberg USB Driver V1.10.4 for Windows Release Notes Contents System Requirements for Software Main Revisions and Enhancements Legacy Updates System Requirements for Software - Note that the system

More information

API サーバの URL. <?xml version="1.0" encoding="utf-8"?> <!DOCTYPE COMPLIANCE_SCAN SYSTEM "

API サーバの URL. <?xml version=1.0 encoding=utf-8?> <!DOCTYPE COMPLIANCE_SCAN SYSTEM Policy Compliance PC スキャン結果の XML Policy Compliance(PC) スキャンの結果は ユーザインタフェースのスキャン履歴リストから XML 形式でダウンロードできます UI からダウンロードした XML 形式の PC スキャン結果には その他のサポートされている形式 (PDF HTML MHT および CSV) の PC スキャン結果と同じ内容が表示されます

More information

Androidプログラミング 2 回目 迫紀徳

Androidプログラミング 2 回目 迫紀徳 Androidプログラミング 2 回目 迫紀徳 前回の復習もかねて BMI 計算アプリを作ってみよう! 2 3 BMI の計算方法 BMI = 体重 [kg] 身長 [m] 2 状態も表示できると GOOD 状態低体重 ( 痩せ型 ) 普通体重肥満 (1 度 ) 肥満 (2 度 ) 肥満 (3 度 ) 肥満 (4 度 ) 指標 18.5 未満 18.5 以上 25 未満 25 以上 30 未満 30

More information

Certificate of Accreditation

Certificate of Accreditation PERRY JOHNSON LABORATORY ACCREDITATION, INC. Certificate of Accreditation Perry Johnson Laboratory Accreditation, Inc. has assessed the Laboratory of: System One Co., Ltd. 1208-1 Otai, Saku-shi, Nagano

More information

MySQL Cluster 7.3 リリース記念!! 5 分で作る MySQL Cluster 環境

MySQL Cluster 7.3 リリース記念!! 5 分で作る MySQL Cluster 環境 MySQL Cluster 7.3 リリース記念!! 5 分で作る MySQL Cluster 環境 日本オラクル株式会社山崎由章 / MySQL Senior Sales Consultant, Asia Pacific and Japan 1 Copyright 2012, Oracle and/or its affiliates. All rights reserved. New!! 外部キー

More information

Quick Install Guide. Adaptec SCSI RAID 2120S Controller

Quick Install Guide. Adaptec SCSI RAID 2120S Controller Quick Install Guide Adaptec SCSI RAID 2120S Controller The Adaptec SCSI Raid (ASR) 2120S Controller is supported on the HP Workstation xw series with Microsoft Windows 2000 and Windows XP operating systems

More information

PSLT Adobe Typekit Service (2016v1.1)

PSLT Adobe Typekit Service (2016v1.1) 1. Typekit Service. 1.1 Desktop Publishing. Page 1 of 2 (A) Customer may only use Typekit Desktop (including any Distributed Code that Adobe permits to be synced or otherwise made available to Customer

More information

電脳梁山泊烏賊塾 構造体のサイズ. Visual Basic

電脳梁山泊烏賊塾 構造体のサイズ. Visual Basic 構造体 構造体のサイズ Marshal.SizeOf メソッド 整数型等型のサイズが定義されて居る構造体の場合 Marshal.SizeOf メソッドを使う事に依り型のサイズ ( バイト数 ) を取得する事が出来る 引数に値やオブジェクトを直接指定するか typeof や GetType で取得した型情報を渡す事に依り 其の型のサイズを取得する事が出来る 下記のプログラムを実行する事に依り Marshal.SizeOf

More information

Unofficial Redmine Cooking - QA #782 yaml_db を使った DB のマイグレーションで失敗する

Unofficial Redmine Cooking - QA #782 yaml_db を使った DB のマイグレーションで失敗する Unofficial Redmine Cooking - QA #782 yaml_db を使った DB のマイグレーションで失敗する 2018/03/26 10:04 - Tamura Shinji ステータス : 新規開始日 : 2018/03/26 優先度 : 通常期日 : 担当者 : 進捗率 : 0% カテゴリ : 予定工数 : 0.00 時間 対象バージョン : 作業時間 : 0.00 時間

More information

UB-U01III/U02III/U03II User s Manual

UB-U01III/U02III/U03II User s Manual English UB-U01III/U02III/U03II User s Manual Standards and Approvals Copyright 2003 by Seiko Epson Corporation Printed in China The following standards are applied only to the boards that are so labeled.

More information

Googleの強みは ささえるのは世界一のインフラ. Google File System 2008年度後期 情報システム構成論2 第10回 クラウドと協調フィルタリング. 初期(1999年)の Googleクラスタ. 最近のデータセンタ Google Chrome Comicより

Googleの強みは ささえるのは世界一のインフラ. Google File System 2008年度後期 情報システム構成論2 第10回 クラウドと協調フィルタリング. 初期(1999年)の Googleクラスタ. 最近のデータセンタ Google Chrome Comicより Googleの強みは 2008年度後期 情報システム構成論2 第10回 クラウドと協調フィルタリング 西尾 信彦 nishio@cs.ritsumei.ac.jp 立命館大学 情報理工学部 Cloud Computing 全地球規模で構成された圧倒的なPCクラスタ 部分的な機能不全を補う機能 あらゆる種類の情報へのサービスの提供 Web上の 全 情報 地図情報 (実世界情報) どのように利用されているかを機械学習

More information

Online Meetings with Zoom

Online Meetings with Zoom Online Meetings with Zoom Electronic Applications の下の部分に Zoom への入り口 What is Zoom? This Web Conferencing service is offered free of charge to eligible officers of technical committees, subcommittees, working

More information

Agilent. IO Libraries Suite 16.3/16.2 簡易取扱説明書. [ IO Libraries Suite 最新版 ]

Agilent. IO Libraries Suite 16.3/16.2 簡易取扱説明書. [ IO Libraries Suite 最新版 ] Agilent IO Libraries Suite 16.3/16.2 簡易取扱説明書 この簡易取扱説明書は Agilent IO Libraries Suite 16.3 / 16.2 ( 以後 IO Lib. ) の簡易説明書です 詳細につきましては各 Help や下記の弊社 web をご参照ください [ IO Libraries Suite 最新版 ] http://www.agilent.com/find/iolib

More information

サンプル. NI TestStand TM I: Introduction Course Manual

サンプル. NI TestStand TM I: Introduction Course Manual NI TestStand TM I: Introduction Course Manual Course Software Version 4.1 February 2009 Edition Part Number 372771A-01 NI TestStand I: Introduction Course Manual Copyright 2009 National Instruments Corporation.

More information

PRODUCT DESCRIPTIONS AND METRICS

PRODUCT DESCRIPTIONS AND METRICS PRODUCT DESCRIPTIONS AND METRICS 1. Multiple-User Access. 1.1 If On-Premise Software licensed on a per-user basis is installed on a Computer accessible by more than one User, then the total number of Users

More information

Computer Programming I (Advanced)

Computer Programming I (Advanced) Computer Programming I (Advanced) 7 th week Kazumasa Yamamoto Dept. Comp. Sci. & Eng. Computer Programming I (Adv.) 7th week 1 Exercise of last week 1. Sorting by bubble sort Compare the bubble sort with

More information

J の Lab システムの舞台裏 - パワーポイントはいらない -

J の Lab システムの舞台裏 - パワーポイントはいらない - JAPLA 研究会資料 2011/6/25 J の Lab システムの舞台裏 - パワーポイントはいらない - 西川利男 学会の発表などでは 私は J の Lab を活用している 多くの人が使っているパワーポイントなぞ使う気にはならない J の Lab システムは会場の大きなスクリーンで説明文書が出来ることはもちろんだが システム自身が J の上で動いていることから J のプログラムが即実行出来て

More information

今日の予定 1. 展開図の基礎的な知識 1. 正多面体の共通の展開図. 2. 複数の箱が折れる共通の展開図 :2 時間目 3. Rep-Cube: 最新の話題 4. 正多面体に近い立体と正 4 面体の共通の展開図 5. ペタル型の紙で折るピラミッド型 :2 時間目 ~3 時間目

今日の予定 1. 展開図の基礎的な知識 1. 正多面体の共通の展開図. 2. 複数の箱が折れる共通の展開図 :2 時間目 3. Rep-Cube: 最新の話題 4. 正多面体に近い立体と正 4 面体の共通の展開図 5. ペタル型の紙で折るピラミッド型 :2 時間目 ~3 時間目 今日の予定 このミステリー (?) の中でメイントリックに使われました! 1. 展開図の基礎的な知識 1. 正多面体の共通の展開図 2. 複数の箱が折れる共通の展開図 :2 時間目 3. Rep-Cube: 最新の話題 4. 正多面体に近い立体と正 4 面体の共通の展開図 5. ペタル型の紙で折るピラミッド型 :2 時間目 ~3 時間目 Some nets are available at http://www.jaist.ac.jp/~uehara/etc/origami/nets/index-e.html

More information

Certificate of Accreditation

Certificate of Accreditation PERRY JOHNSON LABORATORY ACCREDITATION, INC. Certificate of Accreditation Perry Johnson Laboratory Accreditation, Inc. has assessed the Laboratory of: NOISE LABORATORY CO., LTD. Customer Service Center

More information

PCIe SSD PACC EP P3700 Intel Solid-State Drive Data Center Tool

PCIe SSD PACC EP P3700 Intel Solid-State Drive Data Center Tool Installation Guide - 日本語 PCIe SSD PACC EP P3700 Intel Solid-State Drive Data Center Tool Software Version 2.x 2015 年 4 月 富士通株式会社 1 著作権および商標 Copyright 2015 FUJITSU LIMITED 使用されているハードウェア名とソフトウェア名は 各メーカーの商標です

More information

User Guide. P6 Barcode Scanner. English 日本語

User Guide. P6 Barcode Scanner. English 日本語 User Guide P6 Barcode Scanner English 日本語 English Product Overview Laser Window Trigger Buzzer Power Switch USB Port LED1 LED2 Shockproof Cover 1 Use of Inateck P6 Startup Note: 1) Inateck P6 will start

More information

Unified System Management Technology for Data Centres

Unified System Management Technology for Data Centres Unified System Management Technology for Data Centres データセンタ向け統合システム管理技術 Abstract Fujitsu s Unified System Management Technology (USMT) is a powerful, ubiquitous infrastructure that harnesses Web Service

More information

Snoop cache. AMANO, Hideharu, Keio University Textbook pp.40-60

Snoop cache. AMANO, Hideharu, Keio University Textbook pp.40-60 cache AMANO, Hideharu, Keio University hunga@am.ics.keio.ac.jp Textbook pp.40-60 memory A small high speed memory for storing frequently accessed data/instructions. Essential for recent microprocessors.

More information

NI TB Introduction. Conventions INSTALLATION INSTRUCTIONS Wire Terminal Block for the NI PXI-2529

NI TB Introduction. Conventions INSTALLATION INSTRUCTIONS Wire Terminal Block for the NI PXI-2529 INSTALLATION INSTRUCTIONS NI TB-2636 4 32 2-Wire Terminal Block for the NI PXI-2529 Introduction This document describes how to install and connect signals to the National Instruments TB-2636 terminal

More information

MathWorks Products and Prices Japan September 2016

MathWorks Products and Prices Japan September 2016 MATLAB Product Family page 1 of 5 MATLAB 1 295,000 1,180,000 Parallel Computing Toolbox 145,000 580,000 Math and Optimization Symbolic Math Toolbox 145,000 580,000 Partial Differential Equation Toolbox

More information

Methods to Detect Malicious MS Document File using File Structure Inspection

Methods to Detect Malicious MS Document File using File Structure Inspection MS 1,a) 2,b) 2 MS Rich Text Compound File Binary MS MS MS 98.4% MS MS Methods to Detect Malicious MS Document File using File Structure Inspection Abstract: Today, the number of targeted attacks is increasing,

More information

TOOLS for MR V1.7.7 for Mac Release Notes

TOOLS for MR V1.7.7 for Mac Release Notes TOOLS for MR V1.7.7 for Mac Release Notes TOOLS for MR V1.7.7 for Mac consists of the following programs. - V1.7.4 - V1.6.4 - V1.7.5 Contents System Requirements for Software Main Revisions and Enhancements

More information

Relaxed Consistency models and software distributed memory. Computer Architecture Textbook pp.79-83

Relaxed Consistency models and software distributed memory. Computer Architecture Textbook pp.79-83 Relaxed Consistency models and software distributed memory Computer Architecture Textbook pp.79-83 What is the consistency model? Coherence vs. Consistency (again) Coherence and consistency are complementary:

More information

マルチビットアップセット耐性及びシングルビットアップセット耐性を備えた

マルチビットアップセット耐性及びシングルビットアップセット耐性を備えた マルチビットアップセット耐性及びシングルビットアップセット耐性を備えた 8T SRAM セルレイアウト 吉本秀輔神戸大学博士課程 1 年 E-mail : yoshipy@cs28.cs.kobe-u.ac.jp 1 Outline 背景 提案 8T SRAM cell layout ソフトエラーシミュレーション結果 消費電力比較結果 まとめ 2 Outline 背景 提案 8T SRAM cell

More information

Lecture 4 Branch & cut algorithm

Lecture 4 Branch & cut algorithm Lecture 4 Branch & cut algorithm 1.Basic of branch & bound 2.Branch & bound algorithm 3.Implicit enumeration method 4.B&B for mixed integer program 5.Cutting plane method 6.Branch & cut algorithm Slide

More information

Infragistics ASP.NET リリースノート

Infragistics ASP.NET リリースノート 2015.1 リリースノート AJAX は パフォーマンスに注力して設計されたグリッド 快適な UX に不可欠なツリー タブ メニューなど ASP. NET AJAX に準拠した高パフォーマンスな Web Forms アプリケーションを作成するツールセットです インストール ダウンロード 2015.1 サービスリリースダウンロード リリースノート コンポーネント カテゴリ 説明 ExcelEngine

More information

HPE Insight Control サーバープロビジョニング 7.6 ビルドプランリファレンスガイド

HPE Insight Control サーバープロビジョニング 7.6 ビルドプランリファレンスガイド HPE Insight Control サーバープロビジョニング 7.6 ビルドプランリファレンスガイド HPE 部品番号 : 5200-2448 発行 : 2016 年 11 月第 1 版 1 Copyright 2012, 2016 Hewlett Packard Enterprise Development LP 本書の内容は 将来予告なしに変更されることがあります Hewlett Packard

More information

和英対訳版. IEC Standard Template のユーザーガイド 備考 : 英語原文掲載 URL ( 一財 ) 日本規格協会

和英対訳版. IEC Standard Template のユーザーガイド 備考 : 英語原文掲載 URL ( 一財 ) 日本規格協会 IEC Standard Template のユーザーガイド 和英対訳版 ( 一財 ) 日本規格協会 備考 : 英語原文掲載 URL http://www.iec.ch/standardsdev/resources/draftingpublications/layout_formatting/iec_t emplate/ IEC:2014 3 CONTENTS 1 Introduction... 5

More information

JASCO-HPLC Operating Manual. (Analytical HPLC)

JASCO-HPLC Operating Manual. (Analytical HPLC) JASCO-HPLC Operating Manual (Analytical HPLC) Index A) Turning on Equipment and Starting ChromNav... 3 B) For Manual Measurement... 6 (1) Making Control Method... 7 (2) Preparation for Measurement... 9

More information

フラクタル 1 ( ジュリア集合 ) 解説 : ジュリア集合 ( 自己平方フラクタル ) 入力パラメータの例 ( 小さな数値の変化で模様が大きく変化します. Ar や Ai の数値を少しずつ変化させて描画する. ) プログラムコード. 2010, AGU, M.

フラクタル 1 ( ジュリア集合 ) 解説 : ジュリア集合 ( 自己平方フラクタル ) 入力パラメータの例 ( 小さな数値の変化で模様が大きく変化します. Ar や Ai の数値を少しずつ変化させて描画する. ) プログラムコード. 2010, AGU, M. フラクタル 1 ( ジュリア集合 ) PictureBox 1 TextBox 1 TextBox 2 解説 : ジュリア集合 ( 自己平方フラクタル ) TextBox 3 複素平面 (= PictureBox1 ) 上の点 ( に対して, x, y) 初期値 ( 複素数 ) z x iy を決める. 0 k 1 z k 1 f ( z) z 2 k a 写像 ( 複素関数 ) (a : 複素定数

More information

Quick Installation Manual

Quick Installation Manual Safety Light Curtain F3SG- RA Series http://www.ia.omron.com/f3sg-r Quick Installation Manual Document Title Safty Light Curtain /RE Series User's Manual Cat. No. Z352-E1 OMRON Corporation 2014-2018 All

More information

UML. A Model Trasformation Environment for Embedded Control Software Design with Simulink Models and UML Models

UML. A Model Trasformation Environment for Embedded Control Software Design with Simulink Models and UML Models Simulink UML 1,a) 1, 1 1 1,b) 1,c) 2012 3 5, 2012 9 10 Simulink UML 2 MATLAB/Simulink Simulink UML Simulink UML UML UML Simulink Simulink MATLAB/Simulink UML A Model Trasformation Environment for Embedded

More information

IRS16: 4 byte ASN. Version: 1.0 Date: April 22, 2008 Cisco Systems 2008 Cisco, Inc. All rights reserved. Cisco Systems Japan

IRS16: 4 byte ASN. Version: 1.0 Date: April 22, 2008 Cisco Systems 2008 Cisco, Inc. All rights reserved. Cisco Systems Japan IRS16: 4 byte ASN Version: 1.0 Date: April 22, 2008 Cisco Systems hkanemat@cisco.com 1 目次 4 byte ASN の対応状況 運用での変更点 2 4 byte ASN の対応状況 3 4 byte ASN の対応状況 IOS XR 3.4 IOS: 12.0S 12.2SR 12.2SB 12.2SX 12.5T

More information

振込依頼書記入要領 Entry Guide for Direct Deposit Request Form

振込依頼書記入要領 Entry Guide for Direct Deposit Request Form 振込依頼書記入要領 Entry Guide for Direct Deposit Request Form 国立大学法人名古屋大学 National University Corporation Nagoya University この振込依頼書は 本学が貴社にお支払いする代金をご指定の金融機関口座に銀行振込するためのものです 新規に登録される場合 あるいは内容を一部変更される場合はその都度 この申出書を提出していただくよう

More information

A. 展開図とそこから折れる凸立体の研究 1. 複数の箱が折れる共通の展開図 2 通りの箱が折れる共通の展開図 3 通りの箱が折れる共通の展開図そして. 残された未解決問題たち 2. 正多面体の共通の展開図 3. 正多面体に近い立体と正 4 面体の共通の展開図 ( 予備 )

A. 展開図とそこから折れる凸立体の研究 1. 複数の箱が折れる共通の展開図 2 通りの箱が折れる共通の展開図 3 通りの箱が折れる共通の展開図そして. 残された未解決問題たち 2. 正多面体の共通の展開図 3. 正多面体に近い立体と正 4 面体の共通の展開図 ( 予備 ) A. 展開図とそこから折れる凸立体の研究 1. 複数の箱が折れる共通の展開図 2 通りの箱が折れる共通の展開図 3 通りの箱が折れる共通の展開図そして. 残された未解決問題たち この雑誌に載ってます! 2. 正多面体の共通の展開図 3. 正多面体に近い立体と正 4 面体の共通の展開図 ( 予備 ) このミステリー (?) の中でメイントリックに使われました! 主な文献 Dawei Xu, Takashi

More information

DSK8AD1DA. 8ch A/D & 1ch D/A for DSK/EVM.

DSK8AD1DA. 8ch A/D & 1ch D/A for DSK/EVM. DSK8AD1DA 8ch A/D & 1ch D/A for DSK/EVM http://www.cepstrum.co.jp/ Rev. date remarks ------------------------------------------------------------------------ 1.1 2002.11.27 1st official release 1.2 2003.10.27

More information

The Secret Life of Components

The Secret Life of Components Practical WebObjects Chapter 6 (Page 159-185): The Secret Life of Components WR WR at Csus4.net http://www.csus4.net/wr/ 目次詳細 The Hypertext Transfer Protocol Spying on HTTP The Request-Response Loop, Briefly

More information

楽天株式会社楽天技術研究所 Autumn The Seasar Foundation and the others all rights reserved.

楽天株式会社楽天技術研究所 Autumn The Seasar Foundation and the others all rights reserved. 2008 Autumn Seasar の中の中 楽天株式会社楽天技術研究所 西澤無我 1 Seasar の中の中 Javassist (Java バイトコード変換器 ) の説明 S2Container ( 特に S2AOP) は静的に 動的にコンポーネントを拡張可能 実行時に Java バイトコードを生成 編集 Javassist を利用 component interceptor1 interceptor2

More information

Operational Precaution

Operational Precaution User s Manual FieldMate R3.04 Operational Precaution Contents PART A PART B Operational Precaution: English version 和文版の操作注意事項が記載されております : Japanese version 17th Edition 1 PART A This document supplements

More information

PNRGOV/Ver11.1/ 旅客氏名表予約情報報告 (PNR01)

PNRGOV/Ver11.1/ 旅客氏名表予約情報報告 (PNR01) UNB: INTERCHANGE HEADER 項番については業務仕様書の入出力項目表の項番を参照 TAG COMP NAME PADIS EDIFACT NACCS 項番 項目名 / 設定値 特記事項 UNB INTERCHANGE HEADER C 1 M 1 S001 SYNTAX IDENTIFIER M 1 M 1 0001 Syntax identifier M a4 1 M a4 1

More information

BMW Head Up Display (HUD) Teardown BMW ヘッドアップディスプレイティアダウン

BMW Head Up Display (HUD) Teardown BMW ヘッドアップディスプレイティアダウン BMW Head Up Display (HUD) Teardown BMW ヘッドアップディスプレイティアダウン FEATURES: 製品の特徴 Head Up Display Socionext MB88F333BA 3.15-inch WVGA IPS LCD Techno Solutions Manufacturer Nippon Seiki Model Number 6230-9 367

More information

SteelEye Protection Suite for Linux

SteelEye Protection Suite for Linux SteelEye Protection Suite for Linux Postfix Recovery Kit v8.2.1 管理ガイド 2014 年 3 月 SteelEye and LifeKeeper are registered trademarks. Adaptec is a trademark of Adaptec, Inc. Adobe Acrobat is a registered

More information

暗い Lena トーンマッピング とは? 明るい Lena. 元の Lena. tone mapped. image. original. image. tone mapped. tone mapped image. image. original image. original.

暗い Lena トーンマッピング とは? 明るい Lena. 元の Lena. tone mapped. image. original. image. tone mapped. tone mapped image. image. original image. original. 暗い Lena トーンマッピング とは? tone mapped 画素値 ( ) output piel value input piel value 画素値 ( ) / 2 original 元の Lena 明るい Lena tone mapped 画素値 ( ) output piel value input piel value 画素値 ( ) tone mapped 画素値 ( ) output

More information

Web Billing User Guide

Web Billing User Guide Web Billing User Guide ( Smart Phone ) This guide describes how to use Web Billing service provided by NTT Finance. Your display on the screen may vary depending on the payment methods you have. Contents

More information

DürrConnect the clever connection. The quick connection with the Click

DürrConnect the clever connection. The quick connection with the Click DürrConnect the clever connection The quick connection with the Click 90d Elbow Securing clip 45d Elbow O-rings Double plug Plug D36 Double socket Double socket with valve カチッ と接続早い 確実 便利 新しく開発された接続システム

More information

~ ソフトウエア認証への取り組みと課題 ~

~ ソフトウエア認証への取り組みと課題 ~ 第 1 回航空機装備品認証技術オープンフォーラム ~ ソフトウエア認証への取り組みと課題 ~ 2019 年 3 月 14 日 The information in this document is the property of Sumitomo Precision Products Co.,LTD.(SPP) and may not be duplicated, or disclosed to any

More information

サーブレットと Android との連携. Generated by Foxit PDF Creator Foxit Software For evaluation only.

サーブレットと Android との連携. Generated by Foxit PDF Creator Foxit Software   For evaluation only. サーブレットと Android との連携 Android からサーブレットへの GET リクエスト Android からサーブレットにリクエストを出すには スレッドを使わなければなりません 枠組みは以下のようになります Android 側 * Hello JSON package jp.ac.neec.kmt.is04.takata; import の記述 public class HelloJsonActivity

More information

Appliance Edition 入門ガイド

Appliance Edition 入門ガイド [Type the document title] 1.0 2013 年 7 月 3725-69903-001/A Polycom RealPresence Capture Server - Appliance Edition 入門ガイド Polycom Document Title 1 商標情報 POLYCOM および Polycom 社製品に関連する製品名およびマークは Polycom, Inc.

More information

Zabbix ログ解析方法. 2018/2/14 サイバートラスト株式会社 Linux/OSS 事業部技術統括部花島タケシ. Copyright Cybertrust Japan Co., Ltd. All rights reserved.

Zabbix ログ解析方法. 2018/2/14 サイバートラスト株式会社 Linux/OSS 事業部技術統括部花島タケシ. Copyright Cybertrust Japan Co., Ltd. All rights reserved. Zabbix ログ解析方法 2018/2/14 サイバートラスト株式会社 Linux/OSS 事業部技術統括部花島タケシ Zabbix ログ解析方法 サイバートラスト株式会社 Linux/OSS 事業部技術統括部花島タケシ 2 自己紹介 MIRACLE ZBXサポート担当 Zabbixソースコード調査 ドキュメント作成 ( 当社ブログも執筆 ) ときどき新規機能追加もしたりします 4.0 へ向けての機能紹介等

More information

URL IO オブジェクト指向プログラミング特論 2018 年度只木進一 : 工学系研究科

URL IO オブジェクト指向プログラミング特論 2018 年度只木進一 : 工学系研究科 URL IO オブジェクト指向プログラミング特論 2018 年度只木進一 : 工学系研究科 2 ネットワークへのアクセス ネットワークへの接続 TCP:Socket 利用 UDP:DatagramSocket 利用 URL へのアクセス 3 application String Object reader / writer char stream byte device 4 階層化された IO の利点

More information

Infrared Data Association Trademark and Brand Guidelines

Infrared Data Association Trademark and Brand Guidelines Infrared Data Association Trademark and Brand Guidelines March 2011 1 Infrared Data Association s (IrDA) Philosophy on Trademarks and Brands IrDA's trademarks, certification marks and brands ( Marks )

More information

Vehicle Calibration Techniques Established and Substantiated for Motorcycles

Vehicle Calibration Techniques Established and Substantiated for Motorcycles Technical paper Vehicle Calibration Techniques Established and Substantiated for Motorcycles モータサイクルに特化した車両適合手法の確立と実証 Satoru KANNO *1 Koichi TSUNOKAWA *1 Takashi SUDA *1 菅野寛角川浩一須田玄 モータサイクル向け ECU は, 搭載性をよくするため小型化が求められ,

More information

Centralized (Indirect) switching networks. Computer Architecture AMANO, Hideharu

Centralized (Indirect) switching networks. Computer Architecture AMANO, Hideharu Centralized (Indirect) switching networks Computer Architecture AMANO, Hideharu Textbook pp.92~130 Centralized interconnection networks Symmetric: MIN (Multistage Interconnection Networks) Each node is

More information

Verify99. Axis Systems

Verify99. Axis Systems Axis Systems Axis Systems Mission Axis Systems, Inc. is a technology leader in the logic design verification market. Founded in 1996, the company offers breakthrough technologies and high-speed simulation

More information

TestsDumps. Latest Test Dumps for IT Exam Certification

TestsDumps.   Latest Test Dumps for IT Exam Certification TestsDumps http://www.testsdumps.com Latest Test Dumps for IT Exam Certification Exam : 642-813 日本語版 Title : Implementing Cisco IP Switched Networks Vendor : Cisco Version : DEMO 1 / 12 Get Latest & Valid

More information

BraindumpStudy. BraindumpStudy Exam Dumps, High Pass Rate!

BraindumpStudy.   BraindumpStudy Exam Dumps, High Pass Rate! BraindumpStudy http://www.braindumpstudy.com BraindumpStudy Exam Dumps, High Pass Rate! Exam : 200-120 日本語 (JPN) Title : CCNA Cisco Certified Network Associate CCNA (803) Vendor : Cisco Version : DEMO

More information

Clinical Data Acquisition Standards Harmonization (CDASH)

Clinical Data Acquisition Standards Harmonization (CDASH) Revision History Clinical Data Acquisition Standards Harmonization (CDASH) Prepared by: CDISC CDASH Core and Domain Teams Document Number Release Date Updates Initial release Note: See 7.7 Representations

More information

FUJITSU Software SystemcastWizard Professional V5.1 L30 ユーザーズガイド B7FW Z0(00) 2014 年 8 月

FUJITSU Software SystemcastWizard Professional V5.1 L30 ユーザーズガイド B7FW Z0(00) 2014 年 8 月 FUJITSU Software SystemcastWizard Professional V5.1 L30 ユーザーズガイド B7FW-0261-01Z0(00) 2014 年 8 月 本書をお読みになる前に 本製品のハイセイフティ用途での使用について 本製品は 一般事務用 パーソナル用 家庭用 通常の産業用等の一般的用途を想定して設計 製造されているものであり 原子力施設における核反応制御 航空機自動飛行制御

More information

Rechargeable LED Work Light

Rechargeable LED Work Light Rechargeable LED Work Light 充電式 LED 作業灯 Model:SWL-150R1 Using LED:LG innotek SMD, HI-POWER(150mA 15 position) Color Temperature:5,700 kelvin Using Battery:LG chemical Li-ion Battery(2,600mA 1set) Brightness

More information

Structured Report Implement rev2.1. Konica Minolta Medical & Graphic Inc. R&D Center Software Development Division Hiroyuki KUBOTA 2006/7/20

Structured Report Implement rev2.1. Konica Minolta Medical & Graphic Inc. R&D Center Software Development Division Hiroyuki KUBOTA 2006/7/20 Structured Report Implement rev2.1 Konica Minolta Medical & Graphic Inc. R&D Center Software Development Division Hiroyuki KUBOTA 2006/7/20 Contents SINR IHE Profile レポート系プロファイル SINR-Diagram SINR Actors

More information

プログラマ本体 I/F 回路図 -Programmer I/F Circuit Diagram-...2 FLASH2 内部 2 FLASHMATE5V1 内部 2

プログラマ本体 I/F 回路図 -Programmer I/F Circuit Diagram-...2 FLASH2 内部 2 FLASHMATE5V1 内部 2 F-ZTAT TM On-board Programmer For Single Power Supply FLASH2 FLASHMATE5V1 取扱説明書資料編 User s Guide 目次 Contents Important Matters To Prepare Target Board...1 About Reference Circuit Diagrams 1 Other important

More information

Web 成績登録システム利用の手引き ( 改訂版 )

Web 成績登録システム利用の手引き ( 改訂版 ) Web 成績登録システム利用の手引き ( 改訂版 ) Manual for the Online Entry of Grades 大阪国際大学 大阪国際大学短期大学部 Revised on July 4 th, 2011 Outline of Procedures for the Web Entry of Grades 1 Go to the OIU web page at http://www.oiu.ac.jp/

More information

YAS530B MS-3E Magnetic Field Sensor Type 3E

YAS530B MS-3E Magnetic Field Sensor Type 3E MS-3E Magnetic Field Sensor Type 3E Overview The is a 3-axis geomagnetic sensor device with the following circuits integrated on one chip: a buffer amplifier, an AD converter, a clock generator circuit,

More information

present on a local docker container to Kong. This special event is open only to Cisco Customers and Partners. This project contains sample code to be

present on a local docker container to Kong. This special event is open only to Cisco Customers and Partners. This project contains sample code to be Uccx rest api Anthony Holloway Please use the star ratings to help drive great content to the top of searches. First, Why are you using the Create File Document and Cache Document steps? If you simply

More information

Studies of Large-Scale Data Visualization: EXTRAWING and Visual Data Mining

Studies of Large-Scale Data Visualization: EXTRAWING and Visual Data Mining Chapter 3 Visualization Studies of Large-Scale Data Visualization: EXTRAWING and Visual Data Mining Project Representative Fumiaki Araki Earth Simulator Center, Japan Agency for Marine-Earth Science and

More information

Synchronization with shared memory. AMANO, Hideharu Textbook pp.60-68

Synchronization with shared memory. AMANO, Hideharu Textbook pp.60-68 Synchronization with shared memory AMANO, Hideharu Textbook pp.60-68 Fork-join: Starting and finishing parallel processes fork Usually, these processes (threads) can share variables fork join Fork/Join

More information

Ritsu-Mate Registration Manual (for Undergraduate Programs)

Ritsu-Mate Registration Manual (for Undergraduate Programs) Ritsu-Mate Registration Manual (for Undergraduate Programs) - Ritsumeikan University has introduced Ritsu-Mate, an online application / enrollment system that can be used to complete a part of the undergraduate

More information

船舶保安システムのセルフチェックリスト. Record No. Name of Ship 船名 flag 国籍 Name of Company 会社名 Date 点検日 Place 場所 Checked by 担当者名. MS-SELF-CHK-SHIP-j (2012.

船舶保安システムのセルフチェックリスト. Record No. Name of Ship 船名 flag 国籍 Name of Company 会社名 Date 点検日 Place 場所 Checked by 担当者名. MS-SELF-CHK-SHIP-j (2012. 船舶保安システムのセルフチェックリスト Record No. Name of Ship 船名 flag 国籍 Name of Company 会社名 Date 点検日 Place 場所 Checked by 担当者名 Is a copy of valid DOC and a valid SMC placed onboard the ship? 有効な DOC の写し及び SMC は備え置かれているか

More information

L3 SSG/SSD を使用している PPPoA クライアントで PC を設定すること

L3 SSG/SSD を使用している PPPoA クライアントで PC を設定すること L3 SSG/SSD を使用している PPPoA クライアントで PC を設定すること 目次 はじめにはじめに表記法前提条件使用するコンポーネント設定ネットワーク図設定 RADIUS プロファイル確認トラブルシューティングシングルサインオン SSD 2.5.1 機能とは何か SSG および SSD を設定する前に何を認知する必要がありますか PPPoA セッションが始められたが 後 SSD ログオンが設定される前にことをしますか

More information

Invitrogen Custom Primer Ordering Guide

Invitrogen Custom Primer Ordering Guide Invitrogen Custom Primer Ordering Guide Rev. August,2015 Invitrogen Custom Primer Ordering Guide This guide describes how to order custom primer in Lifetechnologies Japan website. Before ordering primers,

More information

ポータブルメディア機器向けプロセッサ フォトフレーム向けメディアプロセッサ

ポータブルメディア機器向けプロセッサ フォトフレーム向けメディアプロセッサ Android ポータブルメディア機器向けプロセッサ フォトフレーム向けメディアプロセッサ Dual プロセッサ構造による 低消費電力 & 高性能 の両立 データ処理 (ARM11) と 音声 動画像の圧縮 伸張処理 (DSP あるいは専用 HW) が同時実行できる 各々の処理の切り替え等によるオーバーヘッドがない 動作周波数を低く抑えられるため 低消費電力プロセスの適用が可能となる 高度な低消費電力化の仕組み

More information

Private Sub 終了 XToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles 終了 XToolStripMenuItem.

Private Sub 終了 XToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles 終了 XToolStripMenuItem. Imports MySql.Data.MySqlClient Imports System.IO Public Class FrmMst Private Sub 終了 XToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles 終了 XToolStripMenuItem.Click

More information

Video Annotation and Retrieval Using Vague Shot Intervals

Video Annotation and Retrieval Using Vague Shot Intervals Master Thesis Video Annotation and Retrieval Using Vague Shot Intervals Supervisor Professor Katsumi Tanaka Department of Social Informatics Graduate School of Informatics Kyoto University Naoki FUKINO

More information

IPv6 関連 WG の状況 (6man, v6ops, softwire)

IPv6 関連 WG の状況 (6man, v6ops, softwire) 第 88 回 IETF 報告会 IPv6 関連 WG の状況 (6man, v6ops, softwire) 2013 年 12 月 20 日 NECアクセステクニカ株式会社川島正伸 kawashimam vx.jp.nec.com 目次 自己紹介 6man WG v6ops WG softwire WG 最後に 2001:db8:café::2 自己紹介 氏名 : 川島正伸 (Nickname:

More information

IP Network Technology

IP Network Technology IP Network Technology IP Internet Procol QoS Quality of Service RPR Resilient Packet Ring FLASHWAVE2700 Abstract The Internet procol (IP) has made it possible to drastically broaden the bandwidth of networks

More information

勧告第 16 号 UN/LOCODE- 港および地名コード ECE/TRADE/227

勧告第 16 号 UN/LOCODE- 港および地名コード ECE/TRADE/227 勧告第 16 号 UN/LOCODE- 港および地名コード ECE/TRADE/227 295 Recommendation No.16 ECE/TRADE/227 UN/LOCODE - CODE FOR PORTS AND OTHER LOCATIONS The work to prepare codes, i.a for ports commenced in 1972, when the UN/ECE

More information

Microchip 社ワイヤボンド変更のご案内

Microchip 社ワイヤボンド変更のご案内 文書管理番号 :RC304-16-NT236 平成 28 年 9 月 8 日 お客様各位 株式会社 PALTEK QRA グループ塩脇由華 Microchip 社ワイヤボンド変更のご案内 拝啓平素は格別のお引立てを賜り厚く御礼申し上げます さて Microchip 社よりワイヤボンド変更について案内文が届きましたので下記に要点をご報告いたします ご査収の上何卒宜しくお取り計らい下さるようお願い申し上げます

More information

Saki is a Japanese high school student who/ has just started to study/ in the US.//

Saki is a Japanese high school student who/ has just started to study/ in the US.// L3 gr8 or great? Part 1 Saki is a Japanese high school student who/ has just started to study/ in the US.// Recently,/ she received/ the following cellphone e-mail.// It says that/ her friends are going

More information

製 品 ガ イ ド NetShield for NetWare V E R S I O N 4. 6

製 品 ガ イ ド NetShield for NetWare V E R S I O N 4. 6 製品ガイド NetShield for NetWare VERSION 4.6 COPYRIGHT 2001 Networks Associates Technology, Inc. All Rights Reserved. No part of this publication may be reproduced, transmitted, transcribed, stored in a retrieval

More information

TS-M2M-0008v onem2m 技術仕様書サービス層 API 仕様 (CoAP 用 )

TS-M2M-0008v onem2m 技術仕様書サービス層 API 仕様 (CoAP 用 ) TS-M2M-0008v1.0.1 onem2m 技術仕様書サービス層 API 仕様 (CoAP 用 ) onem2m Technical Specification CoAP Protocol Binding 2015 年 3 月 16 日制定 一般社団法人情報通信技術委員会 THE TELECOMMUNICATION TECHNOLOGY COMMITTEE 本書は 一般社団法人情報通信技術委員会が著作権を保有しています

More information

2018 年 2 月 16 日 インテル株式会社

2018 年 2 月 16 日 インテル株式会社 2018 年 2 月 16 日 インテル株式会社 HPC 事業開発マネージャ 矢澤克巳 HPC Trends Exascale Computing Artificial Intelligence Workflow Convergence 2 Intel Scalable System Framework for HPC Modeling & Simulation HPC Data Analytics

More information

HA8000-bd シリーズ内蔵 LAN スイッチモジュールソフトウェアマニュアルメッセージ ログレファレンス. HA8000-bd/BD10X3. Ver B 対応

HA8000-bd シリーズ内蔵 LAN スイッチモジュールソフトウェアマニュアルメッセージ ログレファレンス. HA8000-bd/BD10X3. Ver B 対応 HA8000-bd シリーズ内蔵 LAN スイッチモジュールソフトウェアマニュアルメッセージ ログレファレンス HA8000-bd/BD10X3 Ver. 3.6.0.B 対応 対象製品このマニュアルは HA8000-bd シリーズ内蔵 LAN スイッチモジュールを対象に記載しています また, 内蔵 LAN スイッチモジュールのソフトウェア Ver.3.6.0.B の機能について記載しています ソフトウェア機能は,

More information

MetaSMIL : A Description Language for Dynamic Integration of Multimedia Content

MetaSMIL : A Description Language for Dynamic Integration of Multimedia Content Master Thesis MetaSMIL : A Description Language for Dynamic Integration of Multimedia Content Supervisor Professor Katsumi TANAKA Department of Social Informatics Graduate School of Informatics Kyoto University

More information

On-Lap 1101H/P. User Manual ユーザーガイド

On-Lap 1101H/P. User Manual ユーザーガイド On-Lap 1101H/P User Manual ユーザーガイド English Table of Contents Chapter 1 Content Description 1 Section 1 On-Lap Monitor and Accessories 1 Section 2 Notices of On-Lap Monitor 2 Section 3 Safety Precautions

More information