Modeling HDL components for FPGAs in control applications

Size: px
Start display at page:

Download "Modeling HDL components for FPGAs in control applications"

Transcription

1 Modeling HDL components for FPGAs in control applications Mark Corless, Principal Application Engineer, Novi MI 2014 The MathWorks, Inc. 1

2 Position sensing High resolution voltage modulation Critical diagnostics Why would I use an FPGA for a controls application? Low latency control loops System cost reduction FPGA = Field Programmable Gate Array 2

3 How do I get an idea out of my head and into an FPGA? 3

4 How do I get an idea out of my head and into an FPGA? How do I get an idea out of my head and into a microprocessor? 4

5 desktop simulation rapid prototyping production code generation 5

6 That sounds nice, but Show me! 6

7 Begin with existing C component models Current Units Position Velocity Units Mode Scheduler Disabled Open Loop Encoder Calibration Velocity Control Field Oriented Control Voltage Units 7

8 Create new HDL component models C HDL Mode Scheduler Disabled Open Loop Encoder Peripheral Current Units Position Velocity Units HDL = Hardware Description Language Encoder Calibration Velocity Control Field Oriented Control Voltage Units PWM Peripheral 8

9 Migrate some component models from C to HDL C HDL Mode Scheduler Disabled Open Loop Encoder Peripheral Current Units Position Velocity Units Encoder Calibration Velocity Control Field Oriented Control Voltage Units PWM Peripheral 9

10 How did models help us design C components? 10

11 Baseline three-phase motor control hardware setup Simulink Real-Time TM scheduler and peripheral interface FPGA with vendor provided peripherals We will use this for HDL implementation Controller model for C implementation 11

12 Rapid prototyping model with Speedgoat bitstream Controller model for C implementation Field-oriented controller is the fastest loop and runs at 25 khz 12

13 Rapid prototyping model with Speedgoat bitstream Interface block to PWM peripheral on FPGA Interface block to encoder peripheral on FPGA Controller model for C implementation 13

14 Rapid prototyping model with Speedgoat bitstream This is the end result, but it s not where we started 14

15 Simulate design on the desktop We started designing the controller at our desktops Mathematical models of motor, load, and peripherals Controller model for C implementation 15

16 Simulate design on the desktop Lumped parameter model of encoder sensor and peripheral 16

17 Compare desktop simulation and hardware data Correlation of simulation and hardware results provided confidence in the workflow 17

18 How do I create a new HDL component model? 18

19 Begin with existing C component models Current Units Position Velocity Units Mode Scheduler Disabled Open Loop Encoder Calibration Velocity Control Field Oriented Control Voltage Units 19

20 Create new HDL component models C HDL Mode Scheduler Disabled Open Loop Encoder Peripheral Current Units Position Velocity Units Encoder Calibration Velocity Control Field Oriented Control Voltage Units PWM Peripheral 20

21 Example workflow to design an HDL component 1. Design the component with a unit-level testbench 2. Integrate the component with the system-level testbench 3. Partition the design for code generation 4. Generate a bitstream for the FPGA 5. Prototype the design on real-time hardware 21

22 1. Design the component with a unit-level testbench Lo-fidelity model to capture 25 khz dynamics of combined sensor and peripheral Peripheral will run at 33 MHz on the FPGA 22

23 1. Design the component with a unit-level testbench 23

24 1. Design the component with a unit-level testbench 24

25 1. Design the component with a unit-level testbench Simulation results provide confidence in lo-fidelity and implementation fidelity peripheral models 25

26 2. Integrate the component with the system-level testbench Implementation fidelity peripheral models require a 1/33 MHz simulation step size so simulation time will be longer than the testbench with lo-fidelity peripherals 26

27 2. Integrate the component with the system-level testbench Use hi-fidelity peripheral implementation models to confirm integrated behavior Use lo-fidelity peripheral models for interactive control design 27

28 3. Partition the design for code generation Group C components Group HDL components Optionally generate algorithmic HDL code 28

29 4. Generate a bitstream for the FPGA Use HDL Workflow Advisor to specify additional information required to create a bitstream 29

30 4. Generate a bitstream for the FPGA Specify card and FPGA toolchain 30

31 4. Generate a bitstream for the FPGA Associate ports in model with pins on FPGA or PCI interface to processor HDL Workflow Advisor will automate interacting with the Xilinx toolchain to create the bitstream for the FPGA 31

32 4. Generate a bitstream for the FPGA HDL Workflow Advisor also generates an interface block which can be used with Simulink Real-Time 32

33 4. Generate a bitstream for the FPGA We use a MATLAB script to only expose ports of interest and make a prettier mask 33

34 5. Prototype the design on real-time hardware Integrate bitstream interface block into Simulink Real- Time model 34

35 5. Prototype the design on real-time hardware Correlation of simulation and hardware results provides confidence in the workflow 35

36 How do I migrate a component model from C to HDL? 36

37 Migrate some component models from C to HDL C HDL Mode Scheduler Disabled Open Loop Encoder Peripheral Current Units Position Velocity Units Encoder Calibration Velocity Control Field Oriented Control Voltage Units PWM Peripheral 37

38 Migrate some component models from C to HDL C HDL Mode Scheduler Disabled Open Loop Encoder Peripheral Current Units Position Velocity Units Encoder Calibration Velocity Control Field Oriented Control Voltage Units PWM Peripheral 38

39 Example workflow to migrate a component to HDL 1. Design the component with a unit-level testbench 2. Integrate the component with the system-level testbench 3. Partition the design for code generation Modify component to account for differences between C and HDL 4. Generate a bitstream for the FPGA 5. Prototype the design on real-time hardware Model to simplify timing constraints for disparate rates Add timing constraint file to FPGA project 39

40 Modify to account for differences between C and HDL States can be reset through enable or trigger ports States are explicitly reset using signals 40

41 Modify to account for differences between C and HDL Parameters are tunable directly from blocks or data objects Tunable parameters are explicitly routed to ports 41

42 Modify to account for differences between C and HDL Typically floating point for rapid prototyping Typically fixed-point for rapid prototyping 42

43 Model to simplify timing constraints for disparate rates Integrate 25 khz control loop with 33 MHz peripheral components 43

44 Model to simplify timing constraints for disparate rates Insert slow delay at fast to slow rate transitions, this makes it simple to author timing constraint file Example constraint file 44

45 Compare simulation and hardware results Correlation of simulation and hardware results provides confidence in the workflow 45

46 What did we learn? 46

47 Position sensing High resolution voltage modulation Critical diagnostics Models can help you design FPGA components for control applications Low latency control loops System cost reduction 47

48 Through this exercise we learned that C and HDL components often run at disparate rates Design components with similar rates of interest Confirm system level behavior by integrating components Consider low fidelity peripheral models for control design tasks There are some differences when modeling for HDL than C Explicitly reset states and route tunable parameters for HDL Typically model HDL algorithms in fixed-point Consider adding delays to simplify timing constraint specification Overall Model-Based Design is similar for C and HDL Simulate components to reduce dependency on hardware Rapid prototype to verify behavior on hardware Generate algorithm code for integration into production environment 48

Motor Control: Model-Based Design from Concept to Implementation on heterogeneous SoC FPGAs Alexander Schreiber, MathWorks

Motor Control: Model-Based Design from Concept to Implementation on heterogeneous SoC FPGAs Alexander Schreiber, MathWorks Motor Control: Model-Based Design from Concept to Implementation on heterogeneous SoC FPGAs Alexander Schreiber, MathWorks 2014 The MathWorks, Inc. 1 Some components of a production application Production

More information

Model-Based Design: Generating Embedded Code for Prototyping or Production

Model-Based Design: Generating Embedded Code for Prototyping or Production Model-Based Design: Generating Embedded Code for Prototyping or Production Ruth-Anne Marchant Application Engineer MathWorks 2016 The MathWorks, Inc. 1 2 ABB Accelerates Application Control Software Development

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications Gaurav Dubey Durvesh Kulkarni 2015 The MathWorks, Inc. 1 Key trend: Increasing demands from motor drives Advanced algorithms require faster

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications GianCarlo Pacitti Senior Application Engineer, MathWorks 2015 The MathWorks, Inc. 1 Agenda Why use Hardware and Software for motor control?

More information

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow jim@mathworks.com 2014 The MathWorks, Inc. 1 Model-Based Design: From Concept to Production RESEARCH DESIGN

More information

How Real-Time Testing Improves the Design of a PMSM Controller

How Real-Time Testing Improves the Design of a PMSM Controller How Real-Time Testing Improves the Design of a PMSM Controller Prasanna Deshpande Control Design & Automation Application Engineer MathWorks 2015 The MathWorks, Inc. 1 Problem Statement: Design speed control

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications Jonas Rutström Application Engineering 2015 The MathWorks, Inc. 1 Masterclass vs. Presentation? 2 What s a SoC? 3 What s a SoC? When we refer

More information

Targeting Motor Control Algorithms to System-on-Chip Devices

Targeting Motor Control Algorithms to System-on-Chip Devices Targeting Motor Control Algorithms to System-on-Chip Devices Dr.-Ing. Werner Bachhuber 2015 The MathWorks, Inc. 1 Why use Model-Based Design to develop motor control applications on SoCs? Enables early

More information

Advanced AC Motor Control S/W Development Sang-Ho Yoon Senior Application Engineer The MathWorks

Advanced AC Motor Control S/W Development Sang-Ho Yoon Senior Application Engineer The MathWorks Advanced AC Motor Control S/W Development Sang-Ho Yoon Senior Application Engineer The MathWorks 2012 The MathWorks, Inc. 1 Agenda Develop ECUs with Model-Based Design Generate Application Code for Prototyping

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Real-Time Testing in a Modern, Agile Development Workflow

Real-Time Testing in a Modern, Agile Development Workflow Real-Time Testing in a Modern, Agile Development Workflow Simon Eriksson Application Engineer 2015 The MathWorks, Inc. 1 Demo Going from Desktop Testing to Real-Time Testing 2 Key Take-Aways From This

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 Realtime Simulation of Large- Scale Power System Using Multi- Core Realtime Machine 강효석과장 / Ph.D 2015 The MathWorks, Inc. 2 Renewable/Microgrid Series Topics Distributed and

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

Accelerate FPGA Prototyping with

Accelerate FPGA Prototyping with Accelerate FPGA Prototyping with MATLAB and Simulink September 21 st 2010 Stephan van Beek Senior Application Engineer 1 From Idea to Implementation DESIGN Algorithm Development MATLAB Simulink Stateflow

More information

Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research

Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research Jared Dulmage Dr. Michael P. Fitz University of California, Los Angeles Annual Research Review 1/13/2008 Traditional

More information

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 [Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 정승혁과장 Senior Application Engineer MathWorks Korea 2015 The MathWorks, Inc. 1 Outline When FPGA, ASIC, or System-on-Chip (SoC) hardware is needed Hardware

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Automatic Code Generation Technology Adoption Lessons Learned from Commercial Vehicle Case Studies

Automatic Code Generation Technology Adoption Lessons Learned from Commercial Vehicle Case Studies 08AE-22 Automatic Code Generation Technology Adoption Lessons Learned from Commercial Vehicle Case Studies Copyright 2007 The MathWorks, Inc Tom Erkkinen The MathWorks, Inc. Scott Breiner John Deere ABSTRACT

More information

High-Level and Model-Based Design Targeting FPGAs and SoCs

High-Level and Model-Based Design Targeting FPGAs and SoCs CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT High-Level and Model-Based Design Targeting FPGAs and SoCs Sander Ter Burg, FPGA System Engineer 3T B.V. What we do: Electronic and Embedded Systems Co-Development

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

Control System Design and Rapid Prototyping Using Simulink Chirag Patel Sr. Application Engineer Modeling and Simulink MathWorks India

Control System Design and Rapid Prototyping Using Simulink Chirag Patel Sr. Application Engineer Modeling and Simulink MathWorks India Control System Design and Rapid Prototyping Using Simulink Chirag Patel Sr. Application Engineer Modeling and Simulink MathWorks India 2014 The MathWorks, Inc. 1 Are you using different tools for design

More information

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks 2014 The MathWorks, Inc. 1 Traditional Implementation Workflow: Challenges Algorithm Development

More information

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013 OP5600 & OP7000 High performance Real-Time simulators Yahia Bouzid 25 th June2013 Contents Model-based design concept Applications Rapid Control Prototyping Hardware in-the-loop OPAL-RT Real-Time simulators

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Creating FPGA-based Co-Processors for DSPs Using Model Based Design Techniques Lecture 4: FPGA Co-Processor Architectures and Verification V10_1_2_0

More information

Team-Based Collaboration in Simulink Chris Fillyaw Application Engineer Detroit, MI

Team-Based Collaboration in Simulink Chris Fillyaw Application Engineer Detroit, MI Team-Based Collaboration in Simulink Chris Fillyaw Application Engineer Detroit, MI 2012 The MathWorks, Inc. Development of a complex system Agenda Team-based workflow considerations Reproducing the design

More information

Designing and Targeting Video Processing Subsystems for Hardware

Designing and Targeting Video Processing Subsystems for Hardware 1 Designing and Targeting Video Processing Subsystems for Hardware 정승혁과장 Senior Application Engineer MathWorks Korea 2017 The MathWorks, Inc. 2 Pixel-stream Frame-based Process : From Algorithm to Hardware

More information

Real Time Testing of PMSM Controller using xpc Target Turnkey solution

Real Time Testing of PMSM Controller using xpc Target Turnkey solution Real Time Testing of PMSM Controller using xpc Target Turnkey solution August 08, 2012 Prasanna Deshpande Application Engineering MathWorks India 2012 The MathWorks, Inc. 1 What is real time testing Rapid

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

Model-Based Design: Design with Simulation in Simulink

Model-Based Design: Design with Simulation in Simulink Model-Based Design: Design with Simulation in Simulink Ruth-Anne Marchant Application Engineer MathWorks 2016 The MathWorks, Inc. 1 2 Outline Model-Based Design Overview Modelling and Design in Simulink

More information

Rapid Control Prototyping Solutions Electrical Drive, Power Conversion and Power Systems

Rapid Control Prototyping Solutions Electrical Drive, Power Conversion and Power Systems Rapid Control Prototyping Solutions Electrical Drive, Power Conversion and Power Systems Presented by Guillaume Boué guillaume.boue@opal-rt.com 2013 OPAL-RT www.opal-rt.com Presentation outline WHY INTRO

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

MATLAB/Simulink in der Mechatronik So einfach geht s!

MATLAB/Simulink in der Mechatronik So einfach geht s! MATLAB/Simulink in der Mechatronik So einfach geht s! Executable s with Simulation Models Continuous Test and Verification Automatic Generation Tobias Kuschmider Applikationsingenieur 2014 The MathWorks,

More information

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall 2009-10 AccelDSP Getting Started Tutorial Introduction This tutorial exercise will guide you through the process of

More information

Model-Based Design for Altera FPGAs Using HDL Code Generation The MathWorks, Inc. 1

Model-Based Design for Altera FPGAs Using HDL Code Generation The MathWorks, Inc. 1 Model-Based Design for Altera FPGAs Using HDL Code Generation Z 2011 The MathWorks, Inc. 1 Separate Views of DSP Implementation System Designer FPGA Designer Algorithm Design System Test Bench RTL Design

More information

Verification, Validation, and Test with Model-Based Design

Verification, Validation, and Test with Model-Based Design 2008-01-2709 Verification, Validation, and Test with Model-Based Design Copyright 2008 The MathWorks, Inc Tom Erkkinen The MathWorks, Inc. Mirko Conrad The MathWorks, Inc. ABSTRACT Model-Based Design with

More information

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan WHITE PAPER Summary This document describes how HDL Coder from MathWorks can

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Reconfigurable Computing. Design and implementation. Chapter 4.1

Reconfigurable Computing. Design and implementation. Chapter 4.1 Reconfigurable Computing Design and implementation Chapter 4.1 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing In System Integration Reconfigurable

More information

Moving MATLAB Algorithms into Complete Designs with Fixed-Point Simulation and Code Generation

Moving MATLAB Algorithms into Complete Designs with Fixed-Point Simulation and Code Generation Moving MATLAB Algorithms into Complete Designs with Fixed-Point Simulation and Code Generation Houman Zarrinkoub, PhD. Product Manager Signal Processing Toolboxes The MathWorks Inc. 2007 The MathWorks,

More information

Embarquez votre Intelligence Artificielle (IA) sur CPU, GPU et FPGA

Embarquez votre Intelligence Artificielle (IA) sur CPU, GPU et FPGA Embarquez votre Intelligence Artificielle (IA) sur CPU, GPU et FPGA Pierre Nowodzienski Engineer pierre.nowodzienski@mathworks.fr 2018 The MathWorks, Inc. 1 From Data to Business value Make decisions Get

More information

Model-Based Design for Video/Image Processing Applications

Model-Based Design for Video/Image Processing Applications Model-Based Design for Video/Image Processing Applications The MathWorks Agenda Model-Based Design From MATLAB and Simulink to Altera FPGA Step-by-step design and implementation of edge detection algorithm

More information

Chapter 1 Overview of Digital Systems Design

Chapter 1 Overview of Digital Systems Design Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017 Why Digital Design? Many times, microcontrollers

More information

Small rectangles (and sometimes squares like this

Small rectangles (and sometimes squares like this Lab exercise 1: Introduction to LabView LabView is software for the real time acquisition, processing and visualization of measured data. A LabView program is called a Virtual Instrument (VI) because it,

More information

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board Shawki Areibi August 15, 2017 1 Introduction Xilinx System Generator provides a set of Simulink blocks

More information

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to: Basic Xilinx Design Capture This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List various blocksets available in System

More information

Ein Modell - viele Zielsysteme

Ein Modell - viele Zielsysteme Ein Modell - viele Zielsysteme Automatische Codegenerierung aus MATLAB und Simulink Dr.-Ing. Daniel Weida 2015 The MathWorks, Inc. 1 Industry trends Code generation is expanding rapidly C C++ VHDL Verilog

More information

Optimize DSP Designs and Code using Fixed-Point Designer

Optimize DSP Designs and Code using Fixed-Point Designer Optimize DSP Designs and Code using Fixed-Point Designer MathWorks Korea 이웅재부장 Senior Application Engineer 2013 The MathWorks, Inc. 1 Agenda Fixed-point concepts Introducing Fixed-Point Designer Overview

More information

Introduction to Control Systems Design

Introduction to Control Systems Design Experiment One Introduction to Control Systems Design Control Systems Laboratory Dr. Zaer Abo Hammour Dr. Zaer Abo Hammour Control Systems Laboratory 1.1 Control System Design The design of control systems

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

Audio Signal Processing in MATLAB Youssef Abdelilah Senior Product Manager

Audio Signal Processing in MATLAB Youssef Abdelilah Senior Product Manager Audio Signal Processing in MATLAB Youssef Abdelilah Senior Product Manager 2014 The MathWorks, Inc. 1 Agenda Tunable parametric equalizer example Audio tone removal example 1 2 3 How to create a streaming

More information

Model-Based Embedded System Design

Model-Based Embedded System Design Model-Based Embedded System Design Pieter J. Mosterman Senior Research Scientist The MathW orks, Inc. 2007 The MathWorks, Inc. Agenda Introduction Embedded Systems Design Demo A Design Activity Dynamic

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Speeding up Simulink. Murali Yeddanapudi The MathWorks, Inc. 1

Speeding up Simulink. Murali Yeddanapudi The MathWorks, Inc. 1 Speeding up Simulink Murali Yeddanapudi 2017 The MathWorks, Inc. 1 Agenda Typical use cases Accelerator mode Performance Advisor Fast Restart and parsim Incremental workflows Solver Profiler 2 Agenda Typical

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

Reconfigurable Computing. Design and Implementation. Chapter 4.1

Reconfigurable Computing. Design and Implementation. Chapter 4.1 Design and Implementation Chapter 4.1 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design In System Integration System Integration Rapid Prototyping Reconfigurable devices (RD) are usually

More information

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation Celso Coslop Barbante, José Raimundo de Oliveira Computing Laboratory (COMLAB) Department of Computer Engineering

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

Optimization and Implementation of Embedded Signal Processing Algorithms Jonas Rutström Senior Application Engineer

Optimization and Implementation of Embedded Signal Processing Algorithms Jonas Rutström Senior Application Engineer Optimization and Implementation of Embedded Signal Processing Algorithms Jonas Rutström Senior Application Engineer 2016 The MathWorks, 1 Inc. Two important questions in embedded design... 1. What s your

More information

Rapid Control Prototyping with MATLAB/Simulink Case Study: Ball-on-Wheel

Rapid Control Prototyping with MATLAB/Simulink Case Study: Ball-on-Wheel Rapid Control Prototyping with MATLAB/Simulink Case Study: Ball-on-Wheel Vasco Lenzi Application Engineer MathWorks 2017 The MathWorks, Inc. 1 Introduction From Ticino, studied Mech Engineer at ETHZ Formula

More information

5I20 ANYTHING I/O MANUAL

5I20 ANYTHING I/O MANUAL 5I20 ANYTHING I/O MANUAL Version 1.9 This page intentionally not blank 12 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

ADPCM-LCO Voice Compression Logic Core

ADPCM-LCO Voice Compression Logic Core ADPCM-LCO Voice Compression Logic Core Functional Description The ADPCM-LCO logic core [Adaptive Differential Pulse Code Modulation-Low Channel count Optimized] is a peripheral for digital voice compression/de-compression

More information

DAQ in MATLAB HANS-PETTER HALVORSEN,

DAQ in MATLAB HANS-PETTER HALVORSEN, Telemark University College Department of Electrical Engineering, Information Technology and Cybernetics DAQ in MATLAB HANS-PETTER HALVORSEN, 2011.06.07 Faculty of Technology, Postboks 203, Kjølnes ring

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Lecture 6: Summary V10_1_2_0 Avnet SpeedWay Workshops Model-Based Design Flow Develop Executable Spec in Simulink Design Exploration for Targeting

More information

Experiment 3. Getting Start with Simulink

Experiment 3. Getting Start with Simulink Experiment 3 Getting Start with Simulink Objectives : By the end of this experiment, the student should be able to: 1. Build and simulate simple system model using Simulink 2. Use Simulink test and measurement

More information

What s New with the MATLAB and Simulink Product Families. Marta Wilczkowiak & Coorous Mohtadi Application Engineering Group

What s New with the MATLAB and Simulink Product Families. Marta Wilczkowiak & Coorous Mohtadi Application Engineering Group What s New with the MATLAB and Simulink Product Families Marta Wilczkowiak & Coorous Mohtadi Application Engineering Group 1 Area MATLAB Math, Statistics, and Optimization Application Deployment Parallel

More information

Introduction to LabVIEW and NI Hardware Platform

Introduction to LabVIEW and NI Hardware Platform Introduction to LabVIEW and NI Hardware Platform Corrie Botha Platform-Based Approach 2 With LabVIEW, You Can Program the Way You Think 3 With LabVIEW, You Can Program the Way You Think The graphical,

More information

DSD: Delta Sigma Demodulator. XMC microcontrollers September 2016

DSD: Delta Sigma Demodulator. XMC microcontrollers September 2016 DSD: Delta Sigma Demodulator XMC microcontrollers September 2016 Agenda 1 Overview 2 Key feature: adjustable CIC filter 3 Key feature: parallel auxiliary CIC filter with boundaries 4 Key feature: resolver

More information

Weapon System Fault Detection, Isolation, and Analysis using Stateflow

Weapon System Fault Detection, Isolation, and Analysis using Stateflow Weapon System Fault Detection, Isolation, and Analysis using Stateflow Rosa Donat Senior Controls Engineer MathWorks Aerospace and Defense Conference June 2007 Manhattan Beach, CA Approved for Public Release,

More information

Usable Simulink Embedded Coder Target for Linux

Usable Simulink Embedded Coder Target for Linux Usable Simulink Embedded Coder Target for Linux Michal Sojka, Pavel Píša Czech Technical University in Prague Faculty of Electrical Engineering Department of Control Engineering 16 th Real-Time Linux Workshop

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

On-Chip Design Verification with Xilinx FPGAs

On-Chip Design Verification with Xilinx FPGAs On-Chip Design Verification with Xilinx FPGAs Application Note 1456 Xilinx Virtex-II Pro devices have redefined FPGAs. The Virtex-II Pro brings with it not only a denser and faster FPGA, but an IBM PPC

More information

Automated Generation Of Code From Simulink To An Event-Based Simulation Framework

Automated Generation Of Code From Simulink To An Event-Based Simulation Framework Automated Generation Of Code From Simulink To An Event-Based Simulation Framework Jonathan Maram Isela Villanueva Millennium Engineering And Integration 16 March 2010 AKC SE Plan Simulation Environments

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Déploiement embarqué et connectivité hardware avec MATLAB et Simulink

Déploiement embarqué et connectivité hardware avec MATLAB et Simulink Déploiement embarqué et connectivité hardware avec MATLAB et Simulink Paul Cox, MathWorks 2015 The MathWorks, Inc. 1 Agenda Introduction Hardware Support Packages for MATLAB and Simulink Processor-in-the-Loop

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

What s New in Simulink Release R2016a and R2016b

What s New in Simulink Release R2016a and R2016b What s New in Simulink Release R2016a and R2016b Mark Walker 2015 The MathWorks, Inc. 1 What s New in Simulink R2016a/b 2 What s New in Simulink R2016a/b 3 Our Objectives with Simulink R2016b Provide immediate

More information

What's new in MATLAB and Simulink for Model-Based Design

What's new in MATLAB and Simulink for Model-Based Design What's new in MATLAB and Simulink for Model-Based Design Magnus Jung Application Engineer 2016 The MathWorks, Inc. 1 What s New? 2 Model-Based Design Workflow RESEARCH REQUIREMENTS DESIGN Scheduling Event

More information

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More Agenda Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More FPGA Technology Programmable Interconnects Logic Blocks I/O Blocks FPGA Logic Implementation

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

Introduction to PoliArd

Introduction to PoliArd Introduction to PoliArd Damiano Milani Politecnico di Milano Department of Mechanical Engineering PoliArd Project PoliArd is a complete environment for implementing control logics on real-time hardware.

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System

Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System Introduction National Instruments is now offering the LabVIEW FPGA Pioneer System to provide early access to the new

More information

Architecture and Partitioning - Architecture

Architecture and Partitioning - Architecture Architecture and Partitioning - Architecture Architecture Management, Marketing and Senior Project Engineers work together to define product requirements. The product requirements will set cost, size and

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

Interrupts, timers and counters

Interrupts, timers and counters Interrupts, timers and counters Posted on May 10, 2008, by Ibrahim KAMAL, in Micro-controllers, tagged Most microcontrollers come with a set of ADD-ONs called peripherals, to enhance the functioning of

More information

System-Level ASIC Algorithm Simulation Platform using Simulink

System-Level ASIC Algorithm Simulation Platform using Simulink Security Level: System-Level ASIC Algorithm Simulation Platform using Simulink Dr. Sun, Defu Huawei Technologies Co., LTD. 2015/11/03 www.huawei.com Contents p Background p System- Level Algorithm Simulation

More information