Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Size: px
Start display at page:

Download "Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual"

Transcription

1 user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev /15/2004

2

3 Table of Contents 1 Overview Jumpers Personality Module Mechanicals Personality Module Headers Fabric Interface FPGA Fabric Interface FPGA Control Plane Section Processor Cores PLB Arbiter DDR SDRAM Memory Controller BlockRAM (BRAM) Memory Controller PLB2OPB Bridge OPB Arbiter Ethernet MAC UART System ACE MPU Interface I 2 C Interface Controllers General Purpose IO Controller Interrupt Controllers DCR Bridge Fabric Interface FPGA Data Plane Section Aurora Interface Logic Channel Interfaces & FIFOs Address Mapping Logic PICMG Synchronization Clock Interface Power Supply Subsystem VCC3V3_BOOT Power Bus VCC12V_MAIN Power Bus References Avnet Design Services 3 of 18 Rev /15/2004

4 Figures Figure 1: ATCA 4x4 Full-Mesh Line Card Block Diagram... 5 Figure 2: Jumper Locations... 6 Figure 3: 10/100 Ethernet Port Routing... 8 Figure 4: Personality Module Dimensions... 9 Figure 5: Fabric Interface FPGA Control Plane Section Block Diagram Figure 6: Fabric Interface FPGA Data Plane Section Block Diagram Figure 7: Synchronization Clock Interface Logic Figure 8: Power Supply Subsystem Block Diagram Tables Table 1: Jumper Settings... 7 Table 2: Personality Module J1 Header Pinout Table 3: Personality Module J2 Header Pinout Table 4: Personality Module J3 Header Pinout Table 4: Personality Module J3 Header Pinout Table 5: Personality Module J4 Header Pinout Avnet Design Services 4 of 18 Rev /15/2004

5 1 Overview The Full Mesh Line Card is intended to serve as a development platform for PICMG 3.x line cards supporting port rates to 10 Gbps. It includes a Virtex-II Pro based FPGA based fabric interface that also includes all PICMG 3.0 defined card and shelf management functionality. Management firmware executes on one of the Virtex-II Pro s PowerPC processors running an embedded Linux operating system. The card also includes headers to interface to a user defined Personality Module. This module is used to implement application specific line card processing and external interfaces. IO access for this module can be through the front panel, or Rear Transition Modules (RTMs). The Personality Module also has full access to the PICMG 3.0 Update Channel Interface. The card implements a 200W power supply and all PICMG 3.0 defined fusing and protection circuitry. Figure 1: ATCA 4x4 Full-Mesh Line Card Block Diagram Avnet Design Services 5 of 18 Rev /15/2004

6 2 Jumpers Figure 2 shows the jumpers used to configure the card in relation to the other components. Figure 2: Jumper Locations Avnet Design Services 6 of 18 Rev /15/2004

7 Table 1 describes the function of each jumper along with the factory settings. Table 1: Jumper Settings Reference Designation Settings Description JMPR1 1 to 2* Selects the Parallel IV cable header (P115) for JTAG debug. open Selects the Trace header (P109) for JTAG debug. JMPR2 1 to 2* Powers PM I/O pins with 2.5V for applications such as RapidIO or SPI-4 2 to 3 Powers PM I/O pins with 3.3V for applications such as SPI-3 JMPR3 1 to 2 Powers VTRX on the top MGT bank with 2.5V. 2 to 3* Powers VTRX on the top MGT bank with 1.8V. JMPR4 1 to 2 Powers VTRX on the bottom MGT bank with 2.5V. 2 to 3* Powers VTRX on the bottom MGT bank with 1.8V. JMPR5 and 6 1 to 2* Enables the MGT power open Provides path for MGT current measurement JMPR7 and 8 1 to 2* Enables the 12V supply to the Personality Module (PM) connector. open Provides path for PM supply current measurement JMPR9 and 10 1 to 2* Enables the 12V supply to the Rear Transition Module (RTM) connector. open Provides path for RTM supply current measurement JMPR11 and 1 to 2* Enables the 2.5V supply 12 open Provides path for 2.5V supply current measurement JMPR13 and 1 to 2* Enables the 1.5V supply 14 open Provides path for 1.5V supply current measurement JMPR15 1 to 2 Selects 125MHz MGT reference clock frequency 2 to 3 Selects MHz MGT reference clock frequency JMPR16 1 to 2* Loops back TDO to TDI when PM is not installed. 1 to 2* Routes the collision LED signal from the 10/100 PHY to the front panel JMPR17 RJ45 2 to 3 Routes the collision LED signal from the 10/100 PHY to the Personality Module JMPR18 1 to 2* Routes the link LED signal from the 10/100 PHY to the front panel RJ45 2 to 3 Routes the link LED signal from the 10/100 PHY to the Personality Module Routes 10/100 Ethernet port to one of the following interfaces: JMPR19 See Figure ATCA Base Interface 3 Personality Module header J4 Front panel RJ-45 *Indicates factory setting Avnet Design Services 7 of 18 Rev /15/2004

8 3 Personality Module Mechanicals The dimensions of the Personality Module, and its relationship to the baseboard are shown in Figure 3. Figure 3: 10/100 Ethernet Port Routing DIFF PHY TPON DIFF PHY TPIN DIFF PHY TPOP DIFF PHY TPIP Ethernet jumped to the Front Panel DIFF PHY TPON DIFF PHY TPIN DIFF PHY TPOP DIFF PHY TPIP Ethernet jumped to the Personality Module DIFF PHY TPON DIFF PHY TPIN DIFF PHY TPOP DIFF PHY TPIP Ethernet jumped to the Backplane (SHMC) Avnet Design Services 8 of 18 Rev /15/2004

9 Figure 4: Personality Module Dimensions Avnet Design Services 9 of 18 Rev /15/2004

10 4 Personality Module Headers Table 2: Personality Module J1 Header Pinout Pin Pin Pin Name Number Number Pin Name 1 GND 2 GND 3 ATCA_RTM_RX0+ 4 ATCA_RTM_TX0+ 5 ATCA_RTM_RX0-6 ATCA_RTM_TX0-7 GND 8 GND 9 GND 10 GND 11 ATCA_RTM_RX1+ 12 ATCA_RTM_TX0+ 13 ATCA_RTM_RX1-14 ATCA_RTM_TX0-15 GND 16 GND 17 ATCA_RTM_RX2+ 18 ATCA_RTM_TX0+ 19 ATCA_RTM_RX2-20 ATCA_RTM_TX0-21 GND 22 GND 23 GND 24 GND 25 ATCA_RTM_RX3+ 26 ATCA_RTM_TX0+ 27 ATCA_RTM_RX3-28 ATCA_RTM_TX0-29 GND 30 GND 31 GND 32 GND 33 FPGA_TMS 34 FPGA_PM_TDO 35 FPGA_PM_TCK 36 FPGA_PM_TDO 37 FPGA_PROG_B 38 FPGA_INIT 39 ATCA_RTM_GPIO1 40 ATCA_RTM_GPIO2 41 GND 42 GND 43 ATCA_RTM_GPIO3 44 ATCA_RTM_GPIO4 45 ATCA_RTM_GPIO5 46 ATCA_RTM_GPIO6 47 ATCA_RTM_GPIO7 48 ATCA_RTM_GPIO8 49 ATCA_RTM_GPIO9 50 ATCA_RTM_GPIO10 51 GND 52 GND 53 ATCA_RTM_GPIO11 54 ATCA_RTM_GPIO12 55 ATCA_RTM_GPIO13 56 ATCA_RTM_GPIO14 57 ATCA_RTM_GPIO15 58 ATCA_RTM_GPIO16 59 ATCA_RTM_GPIO17 60 ATCA_RTM_GPIO18 61 GND 62 GND 63 ATCA_REFCLK_OUT_EN_N 64 ATCA_REFCLK_A_IN 65 ATCA_REFCLK_OUT 66 ATCA_REFCLK_B_IN 67 GND 68 GND 69 VCC12V_PM 70 VCC12V_PM 71 VCC12V_PM 72 VCC12V_PM 73 VCC12V_PM 74 VCC12V_PM 75 VCC12V_PM 76 VCC12V_PM 77 VCC12V_PM 78 VCC12V_PM 79 GND 80 GND Avnet Design Services 10 of 18 Rev /15/2004

11 Table 3: Personality Module J2 Header Pinout Pin Pin Pin Name Number Number Pin Name 1 GND 2 GND 3 ATCA_UC_RX4+ 4 ATCA_UC_TX4+ 5 ATCA_UC_RX4-6 ATCA_UC_TX4-7 GND 8 GND 9 GND 10 GND 11 ATCA_UC_RX3+ 12 ATCA_UC_TX3+ 13 ATCA_UC_RX3-14 ATCA_UC_TX3-15 GND 16 GND 17 ATCA_UC_RX2+ 18 ATCA_UC_TX2+ 19 ATCA_UC_RX2-20 ATCA_UC_TX2-21 GND 22 GND 23 GND 24 GND 25 ATCA_UC_RX1+ 26 ATCA_UC_TX1+ 27 ATCA_UC_RX1-28 ATCA_UC_TX1-29 GND 30 GND 31 GND 32 GND 33 ATCA_UC_RX0+ 34 ATCA_UC_RX0+ 35 ATCA_UC_RX0-36 ATCA_UC_RX0-37 GND 38 GND 39 FI_TX0+ 40 FI_RX0+ 41 FI_TX0-42 FI_RX0-43 GND 44 GND 45 FI_GPIO_0 46 FI_GPIO_1 47 FI_GPIO_2 48 FI_GPIO_3 49 GND 50 GND 51 FI_GPIO_4 52 FI_GPIO_5 53 FI_GPIO_6 54 FI_GPIO_7 55 GND 56 GND 57 DIFF_FI_GCLK_2P 58 FI_GPIO_8 59 DIFF_FI_GCLK_2N 60 FI_GPIO_9 61 GND 62 GND 63 DIFF_FI_GCLK_0P 64 DIFF_FI_GCLK_1P 65 DIFF_FI_GCLK_0N 66 DIFF_FI_GCLK_1N 67 GND 68 GND 69 DIFF_FI_GPIO_40P 70 CLK_FI_3V3_0 71 DIFF_FI_GPIO_40N 72 CLK_FI_3V3_1 73 GND 74 GND 75 DIFF_FI_GPIO_38P 76 DIFF_FI_GPIO_39P 77 DIFF_FI_GPIO_38N 78 DIFF_FI_GPIO_39N 79 GND 80 GND Avnet Design Services 11 of 18 Rev /15/2004

12 Table 4: Personality Module J3 Header Pinout Pin Pin Pin Name Number Number Pin Name 1 GND 2 GND 3 DIFF_FI_GPIO_36P 4 DIFF_FI_GPIO_37P 5 DIFF_FI_GPIO_36N 6 DIFF_FI_GPIO_37N 7 GND 8 GND 9 GND 10 GND 11 DIFF_FI_GPIO_34P 12 DIFF_FI_GPIO_35P 13 DIFF_FI_GPIO_34N 14 DIFF_FI_GPIO_35N 15 GND 16 GND 17 DIFF_FI_GPIO_33P 18 GND 19 DIFF_FI_GPIO_33N 20 GND 21 GND 22 GND 23 GND 24 GND 25 DIFF_FI_GPIO_31P 26 DIFF_FI_GPIO_32P 27 DIFF_FI_GPIO_31N 28 DIFF_FI_GPIO_32N 29 GND 30 GND 31 GND 32 GND 33 DIFF_FI_GPIO_29P 34 DIFF_FI_GPIO_30P 35 DIFF_FI_GPIO_29N 36 DIFF_FI_GPIO_30N 37 GND 38 GND 39 DIFF_FI_GPIO_27P 40 DIFF_FI_GPIO_28P 41 DIFF_FI_GPIO_27N 42 DIFF_FI_GPIO_28N 43 GND 44 GND 45 GND 46 GND 47 DIFF_FI_GPIO_25P 48 DIFF_FI_GPIO_26P 49 DIFF_FI_GPIO_25N 50 DIFF_FI_GPIO_26N 51 GND 52 GND 53 GND 54 GND 55 DIFF_FI_GPIO_23P 56 DIFF_FI_GPIO_24P 57 DIFF_FI_GPIO_23N 58 DIFF_FI_GPIO_24N 59 GND 60 GND 61 DIFF_FI_GPIO_21P 62 DIFF_FI_GPIO_22P 63 DIFF_FI_GPIO_21N 64 DIFF_FI_GPIO_22N 65 GND 66 GND 67 GND 68 GND 69 DIFF_FI_GPIO_19P 70 DIFF_FI_GPIO_20P 71 DIFF_FI_GPIO_19N 72 DIFF_FI_GPIO_20N 73 GND 74 GND 75 DIFF_FI_GPIO_17P 76 DIFF_FI_GPIO_18P 77 DIFF_FI_GPIO_17N 78 DIFF_FI_GPIO_18N 79 GND 80 GND Avnet Design Services 12 of 18 Rev /15/2004

13 Table 5: Personality Module J4 Header Pinout Pin Pin Pin Name Number Number Pin Name 1 GND 2 GND 3 DIFF_ETH_PM_RX- 4 DIFF_ETH_PM_TX- 5 DIFF_ETH_PM_RX+ 6 DIFF_ETH_PM_TX+ 7 GND 8 GND 9 LEDL_PM 10 LEDC_PM 11 NC 12 NC 13 NC 14 NC 15 NC 16 NC 17 NC 18 GND 19 NC 20 DIFF_FI_GPIO_16P 21 NC 22 DIFF_FI_GPIO_16N 23 GND 24 GND 25 DIFF_FI_GPIO_14P 26 DIFF_FI_GPIO_15P 27 DIFF_FI_GPIO_14N 28 DIFF_FI_GPIO_15N 29 GND 30 GND 31 GND 32 GND 33 DIFF_FI_GPIO_12P 34 DIFF_FI_GPIO_14P 35 DIFF_FI_GPIO_12N 36 DIFF_FI_GPIO_14N 37 GND 38 GND 39 DIFF_FI_GPIO_10P 40 DIFF_FI_GPIO_12P 41 DIFF_FI_GPIO_10N 42 DIFF_FI_GPIO_12N 43 GND 44 GND 45 GND 46 GND 47 DIFF_FI_GPIO_8P 48 DIFF_FI_GPIO_9P 49 DIFF_FI_GPIO_8N 50 DIFF_FI_GPIO_9N 51 GND 52 GND 53 DIFF_FI_GPIO_6P 54 DIFF_FI_GPIO_7P 55 DIFF_FI_GPIO_6N 56 DIFF_FI_GPIO_7N 57 GND 58 GND 59 GND 60 GND 61 DIFF_FI_GPIO_4P 62 DIFF_FI_GPIO_5P 63 DIFF_FI_GPIO_4N 64 DIFF_FI_GPIO_5N 65 GND 66 GND 67 DIFF_FI_GPIO_2P 68 DIFF_FI_GPIO_3P 69 DIFF_FI_GPIO_2N 70 DIFF_FI_GPIO_3N 71 GND 72 GND 73 GND 74 GND 75 DIFF_FI_GPIO_0P 76 DIFF_FI_GPIO_1P 77 DIFF_FI_GPIO_0N 78 DIFF_FI_GPIO_1N 79 GND 80 GND Avnet Design Services 13 of 18 Rev /15/2004

14 5 Fabric Interface FPGA The Fabric Interface FPGA implements not only the data plane functions needed to transfer data across the distributed fabric, but also all management functions defined in the PICMG 3.0 specification. When placed in slots one or two the card is capable of acting as a Shelf Manager. The following sections discuss the control plane and data plane functionality of the FPGA. 5.1 Fabric Interface FPGA Control Plane Section The control plane section of the Fabric Interface FPGA implements management functions for the card. All of these functions are implemented as firmware running on an embedded Linux operating system. The functions that are provided include: IPMI agent Shelf Manager Hardware and software update via ShMC interface Figure 5 shows a block diagram of the Control Plane section of the Fabric Interface FPGA. Figure 5: Fabric Interface FPGA Control Plane Section Block Diagram Avnet Design Services 14 of 18 Rev /15/2004

15 Processor Cores The Virtex-II Pro FPGA includes two 400 Mhz PowerPC 405 processors. One processor is used to implement management functions as shown in Figure 5. It interfaces to the rest of the management subsystem by way of a 64-bit CoreConnect Processor Local Bus (PLB), and a 32-bit On-Chip Peripheral Bus (OPB). The second PowerPC processor is available for applications specific functions PLB Arbiter The PLB Arbiter implements the CoreConnect Processor Local Bus (PLB). It consists of arbitration logic, a watchdog timer, and separate data path logic for address, write data, and read data DDR SDRAM Memory Controller The Double Data Rate (DDR) SDRAM memory controller manages 128 MB of DRAM for the PowerPC processor, and is used as the main code and data store for the Linux operating system. The memory is implemented using discrete devices soldered to the board BlockRAM (BRAM) Memory Controller The BRAM memory controller manages 32 KB of on-chip SRAM for the PowerPC processor. This memory is used as temporary storage during the boot process PLB2OPB Bridge The PLB2OPB Bridge translates PLB transactions into OPB transactions. These transactions are made up of read and write cycles to IO peripherals OPB Arbiter The OPB Arbiter implements the On-Chip Peripheral Bus (OPB). It consists of arbitration logic, a watchdog timer, and separate data path logic for address, write data, and read data Ethernet MAC The 10/100 Ethernet MAC is used to implement the Shelf Management Controller (ShMC) interface. It interacts with the operating system through a standard network driver, and provides an interface for IP based management traffic. This port can also used for debugging and firmware/hardware updates UART The UART is used to implement the RTM serial port interface. It interacts with the operating system through a standard terminal driver. This port can also used for debugging and firmware/hardware updates System ACE MPU Interface The System ACE MPU Interface provides a mechanism for the 405 processor to access the MicroDrive in the CompactFlash socket. It interacts with the operating system through a standard block device driver, and enables the use of the drive as a file system under Linux I 2 C Interface Controllers The FPGA includes three I 2 C interface controllers. Two are used to implement the IPMB interfaces, and the third is used for system monitoring functions. Avnet Design Services 15 of 18 Rev /15/2004

16 General Purpose IO Controller The General Purpose IO (GPIO) controller gives the processor access to IO pins on the FPGA so that they can be read and written under program control. These signals are used to implement the Hardware Address (HA) interface, and the Front Panel Status Interface Interrupt Controllers The Interrupt Controller blocks manage the interrupt signals fed to the processor by providing masking, and prioritization capabilities. There are two controllers, one for standard interrupts, and one for critical interrupts. The controller manages interrupts from the Ethernet MAC, the I 2 C Controllers, and the UART DCR Bridge The DCR Bridge provides a means for the processor to access control registers that are mapped to the CoreConnect DCR bus. This includes registers in the PLB Arbiter, The OPB2PLB and PLB2OPB bridges, and the Interrupt Controllers. 5.2 Fabric Interface FPGA Data Plane Section The Data Plane Section implements a complete, fifteen channel, distributed switch fabric interface. The configuration shipped with the card implements a PICMG 3.1 Ethernet transport, but it can be customized to support other PICMG 3.x transports. Figure 6 shows a block diagram of the Data Plane section of the Fabric Interface FPGA Figure 6: Fabric Interface FPGA Data Plane Section Block Diagram Aurora Interface Logic The Aurora Interface is used to transfer packets between the user-defined logic on the Prototyping Module and the PICMG 3.x fabric. The Aurora Interface uses the Fabric Interface MGT signals for connectivity. Other interfaces can be substituted for this one if desired. One example of an alternative interface is POS-PHY Level 3. In this case the Fabric Interface GPIO signals would be used for connectivity. Avnet Design Services 16 of 18 Rev /15/2004

17 5.2.2 Channel Interfaces & FIFOs The Channel Interfaces implement physical and link layer functions for each channel. In the case of a PICMG 3.1 Ethernet transport, this block consists of a Gigabit Ethernet MAC with a 1000BASE-BX physical layer interface Address Mapping Logic The address mapping logic maps destination address information contained in packets entering the switch interface through the Aurora Interface into destination port numbers. This information is then used to direct the packet into the transmit FIFO for the correct channel interface. In the PICMG 3.1 configuration that is shipped with the card this means mapping a 48-bit Ethernet destination address. 6 PICMG Synchronization Clock Interface The Full Mesh Line Card supports a subset of the PICMG 3.0 Synchronization Clock Interface functions. The Synchronization Clock Interface logic is capable of selecting and routing the MHz system clock to or from the CLK2A and CLK2B clock busses. Figure 7 shows a block diagram of this logic. The PICMG_REFCLK signals are available to the Personality Module through Fabric Interface GPIO Header 2. Figure 7: Synchronization Clock Interface Logic 7 Power Supply Subsystem The Power Supply Subsystem consists of fusing, filtering, and protection circuitry as well as DC to DC converters designed to support the power requirements of both the Fabric Interface FPGA and application specific circuitry implemented on the Personality Module and Rear Transition Module. Figure 8 gives an overview of this subsystem. 7.1 VCC3V3_BOOT Power Bus The power supply for the baseboard circuitry consists of the Boot DC to DC converter, and several smaller voltage converters that supply the various levels needed by the base board circuitry. 7.2 VCC12V_MAIN Power Bus Power for application specific circuitry consists of 151 watts of 12V brought out to the Personality Module headers, and RTM connector. Conversion to the voltages required by the application is performed on these cards. During card initialization, the VCC12V_MAIN Power Bus is disabled until the control plane subsystem negotiates the power requirements of the board with the shelf management subsystem. It is the responsibility of developers of Personality Modules and RTM cards to ensure the following: Avnet Design Services 17 of 18 Rev /15/2004

18 1. The combined power consumption of the Personality Module and the RTM does not exceed the capabilities of the Main DC to DC converter. 2. Signals connected to the baseboard can tolerate being powered down while the Fabric Interface FPGA is powered up. 3. The capacitors are provided to meet the ATCA power hold time requirement based on the additional current draw of the Personality Module or RTM. Figure 8: Power Supply Subsystem Block Diagram 8 References Virtex-II Pro and Virtex-II Pro X FPGA User Guide, 5 August 2004, Xilinx. PICMG 3.0 Short Form Specification, January 2003, PICMG. Avnet Design Services 18 of 18 Rev /15/2004

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features ML501 Purpose: General purpose FPGA development board. Board Part Number: HW-V5-ML501-UNI-G Device Supported: XC5VLX50FFG676 Price: $995 The ML501 is a feature-rich and low-cost evaluation/development

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

INSTITUTO DE PLASMAS E FUSÃO NUCLEAR

INSTITUTO DE PLASMAS E FUSÃO NUCLEAR ATCA-PTSW-AMC4 Technical Manual INSTITUTO DE PLASMAS E FUSÃO NUCLEAR October 29, 2013 Authored by: M. Correia ATCA-PTSW-AMC4 Technical Manual Document Configuration COMPANY AUTHORS IPFN/IST- instituto

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

UNC20 Module. User's Manual. D Breisach, Germany D Breisach, Germany Fax +49 (7667)

UNC20 Module. User's Manual. D Breisach, Germany D Breisach, Germany Fax +49 (7667) UNC20 Module User's Manual P.O: Box 1103 Kueferstrasse 8 Tel. +49 (7667) 908-0 sales@fsforth.de D-79200 Breisach, Germany D-79206 Breisach, Germany Fax +49 (7667) 908-200 http://www.fsforth.de Copyright

More information

StrongARM** SA-110/21285 Evaluation Board

StrongARM** SA-110/21285 Evaluation Board StrongARM** SA-110/21285 Evaluation Board Brief Datasheet Product Features Intel offers a StrongARM** SA-110/21285 Evaluation Board (EBSA-285) that provides a flexible hardware environment to help manufacturers

More information

C900 PowerPC G4+ Rugged 3U CompactPCI SBC

C900 PowerPC G4+ Rugged 3U CompactPCI SBC C900 PowerPC G4+ Rugged 3U CompactPCI SBC Rugged 3U CompactPCI SBC PICMG 2.0, Rev. 3.0 Compliant G4+ PowerPC 7447A/7448 Processor @ 1.1 Ghz with AltiVec Technology Marvell MV64460 Discovery TM III System

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

C901 PowerPC MPC7448 3U CompactPCI SBC

C901 PowerPC MPC7448 3U CompactPCI SBC C901 PowerPC MPC7448 3U CompactPCI SBC Rugged 3U CompactPCI SBC PowerPC 7448 @ 1.4 GHz, 1.0 GHz, or 600 MHz, with AltiVec Technology 166 MHz MPX Bus Marvell MV64460 Discovery TM III System Controller One

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

C802 Core i7 3U CompactPCI SBC

C802 Core i7 3U CompactPCI SBC C802 Core i7 3U CompactPCI SBC Rugged 3U CompactPCI Single-Slot SBC Core i7 @ 2.53/2.0/1.33 GHz Processor Two Cores/Four Threads (Intel Hyper-Threading Technology) Intel Virtualization Technology for Directed

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved Hardware Design MicroBlaze 7.1 This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List the MicroBlaze 7.1 Features List

More information

ML410 BSB DDR2 Design Creation Using 8.2i SP1 EDK Base System Builder (BSB) April

ML410 BSB DDR2 Design Creation Using 8.2i SP1 EDK Base System Builder (BSB) April ML40 BSB DDR2 Design Creation Using 8.2i SP EDK Base System Builder (BSB) April 2007 Overview Hardware Setup Software Requirements Create a BSB DDR2 System Build (BSB) in EDK Generate a Bitstream Transfer

More information

C800 Core 2 Duo CompactPCI SBC

C800 Core 2 Duo CompactPCI SBC C8 Core 2 Duo CompactPCI SBC Rugged 3U CompactPCI Single-Slot SBC Intel T75/L75/U75 Core 2 Duo Processor @ 2.2/1.67/1.6 GHz On-chip 32 kb Data/32 kb Instruction L1 Cache On-chip 4 MB L2 Cache Intel GM965

More information

C6100 Ruggedized PowerPC VME SBC

C6100 Ruggedized PowerPC VME SBC C6100 Ruggedized PowerPC VME SBC Rugged 6U VME Single Slot SBC Conduction and Air-Cooled Versions Two Asynchronous Serial Interfaces Four 32-Bit Timers G4 MPC7457 PowerPC with AltiVec Technology @ up to

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Subject: Jumper, DIP and optional resistor settings for ROACH rev Location of jumpers, switches and resistors on hardware

Subject: Jumper, DIP and optional resistor settings for ROACH rev Location of jumpers, switches and resistors on hardware Technical Memo Number: NRF-KAT7-5.0-MEM-008 To : DBE Team From : Jason Manley, Francois Kapp, David George Date : 20 May 2009 Subject: Jumper, DIP and optional resistor settings for ROACH rev 1.02 Location

More information

Components of a MicroTCA System

Components of a MicroTCA System Micro TCA Overview0 Platform, chassis, backplane, and shelf manager specification, being developed through PICMG Allows AMC modules to plug directly into a backplane Fills the performance/cost gap between

More information

KSZ9692PB User Guide Brief

KSZ9692PB User Guide Brief KSZ9692PB User Guide Brief KSZ9692PB Evaluation Platform Rev 2.0 General Description The KSZ9692PB Evaluation Platform accelerates product time-to-market by providing a hardware platform for proof-of-concept,

More information

MitySOM-5CSX Embedded VDK for Basler dart 10 August 2017

MitySOM-5CSX Embedded VDK for Basler dart  10 August 2017 10 August 2017 FEATURES MitySOM-5CSX Embedded Vision Development Kit for Basler dart MitySOM-5CSX Module Additional Hardware Included: Micro B USB Cable Ethernet Cable AC to DC 12V 3A Adapter Option A

More information

SheevaPlug Development Kit Reference Design. Rev 1.2

SheevaPlug Development Kit Reference Design. Rev 1.2 SheevaPlug Development Kit Reference Design Rev 1.2 INTRODUCTION...4 SECTION 1 OVERVIEW...6 1.1 SHEEVAPLUG DESCRIPTION....6 Figure 1.1: SHEEVAPLUG Components and JTAG test card...6 Figure 1.2: SheevaPlug

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 Rugged 6U VME Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 Features RoHS 520MHz Low-power ARM processor w/ 800 x 600 Color LCD Power Over Ethernet and 10/100BASE-T Ethernet GPS module

More information

UltraZed -EV Starter Kit Getting Started Version 1.3

UltraZed -EV Starter Kit Getting Started Version 1.3 UltraZed -EV Starter Kit Getting Started Version 1.3 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of

More information

MultiBERT IP Toolkit for Serial Backplane Signal Integrity Validation Author: Delfin Rodillas

MultiBERT IP Toolkit for Serial Backplane Signal Integrity Validation Author: Delfin Rodillas XAPP537 (v1.1) November 29, 2004 Application Note: Virtex-II Pro Multi IP Toolkit for Serial Backplane Signal Integrity Validation Author: Delfin odillas Summary For many years, backplanes have been used

More information

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet Data Sheet XMC-FPGA05F Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad s Applications Remote Sensor Interface Data Recorders Distributed Processing Interconnect Protocol Converter Data Encryption

More information

NetFPGA Hardware Architecture

NetFPGA Hardware Architecture NetFPGA Hardware Architecture Jeffrey Shafer Some slides adapted from Stanford NetFPGA tutorials NetFPGA http://netfpga.org 2 NetFPGA Components Virtex-II Pro 5 FPGA 53,136 logic cells 4,176 Kbit block

More information

PXIe FPGA board SMT G Parker

PXIe FPGA board SMT G Parker Form : QCF51 Date : 6 July 2006 PXIe FPGA board SMT700 1.5 20 th November 2009 G Parker Sundance Multiprocessor Technology Ltd, Chiltern House, Waterside, Chesham, Bucks. HP5 1PS. This document is the

More information

Agilent N2533A RMP 4.0 Remote Management Processor Data Sheet

Agilent N2533A RMP 4.0 Remote Management Processor Data Sheet Agilent N2533A RMP 4.0 Remote Management Processor Data Sheet Description The Agilent RMP 4.0 is a highly integrated Remote Management Processor. Its small package and flexible hardware design is suitable

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

FEATURES. APPLICATIONS Machine Vision Embedded Instrumentation Motion Control Traffic Monitoring Security

FEATURES. APPLICATIONS Machine Vision Embedded Instrumentation Motion Control Traffic Monitoring Security FEATURES High-performance CMOSIS sensors - Sensitivity: 5.56 V/lux.s - Dynamic range: 60 db - Dark Noise: 8.6 e - - High speed: 95* fps - 8M Pixel: 3360(H) x 2496(V) - Monochrome / Color - Global Shutter

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Application Note: Embedded Processing XAPP935 (v1.1) June 7, 2007 R Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Abstract This reference system demonstrates the functionality of

More information

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008 Virtex-4 PowerPC Example Design R R 2007-2008 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks

More information

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 Features Small, low cost, ready to run 386EX, 25 or 33MHz Up to 32MB DRAM CompactFlash connector Optional PC Card interface for Wi-Fi, modem,

More information

Version 1.6 Page 2 of 25 SMT351 User Manual

Version 1.6 Page 2 of 25 SMT351 User Manual SMT351 User Manual Version 1.6 Page 2 of 25 SMT351 User Manual Revision History Date Comments Engineer Version 28/07/04 First revision JPA 1.1 16/09/04 Added pin number for JP1 pinout section. Updated

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications Embedded TCP/IP 10/100-BaseT Network Module Features 16-bit Microcontroller with Enhanced Flash program memory and static RAM data memory On board 10/100Mbps Ethernet controller, and RJ45 jack for network

More information

PRELIMINARY IDT7M9510 IDT7M9514

PRELIMINARY IDT7M9510 IDT7M9514 79RV60/79RC6V7 PCI MEZZANINE CARD PRELIMINARY 7M9510 7M951 FEATURES: PCI Mezzanine Card (PMC) (IEEE 1386) form factor 7M9510 High performance 79RV60 MIPS Processor 100Mhz, 150Mhz, 0Mhz, 00MHz CPU speeds

More information

SpiNN 3 System Diagram

SpiNN 3 System Diagram SpiNNaker AppNote SpiNN-3 DevBoard Page AppNote - SpiNN-3 Development Board SpiNNaker Group, School of Computer Science, University of Manchester Steve Temple - 4 Nov - Version. Introduction This document

More information

Management building blocks speed AdvancedTCA product development

Management building blocks speed AdvancedTCA product development TELECOM S P E C I A L F E A T U R E Management building blocks speed AdvancedTCA product development By Mark Overgaard The IPM Sentry Intelligent Platform Management products provide off-the-shelf building

More information

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the FPGA PLATFORMS Board Platforms Custom Solutions Technology Partners Integrated Platforms XUPP3R Xilinx UltraScale+ 3/4-Length PCIe Board with Quad QSFP and 512 GBytes DDR4 Xilinx Virtex UltraScale+ VU7P/VU9P/VU11P

More information

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet Data Sheet 3CPF1 3U PowerPC/Xilinx Virtex-II Pro Processing Engine Applications The 3CPF1 is designed to solve the most demanding scalable processing requirements in embedded systems, in particular for

More information

5I24 ANYTHING I/O MANUAL

5I24 ANYTHING I/O MANUAL 5I24 ANYTHING I/O MANUAL Version 1.5 This page intentionally not blank Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

RunBMC - A Modular BMC Mezzanine Card BUV - Bring Up Vehicle For BMC Mezzanine. Eric Shobe & Jared Mednick Hardware Engineer - Salesforce

RunBMC - A Modular BMC Mezzanine Card BUV - Bring Up Vehicle For BMC Mezzanine. Eric Shobe & Jared Mednick Hardware Engineer - Salesforce RunBMC - A Modular BMC Mezzanine Card BUV - Bring Up Vehicle For BMC Mezzanine Eric Shobe & Jared Mednick Hardware Engineer - Salesforce RunBMC A Modular BMC Mezzanine Eric Shobe & Jared Mednick, HW at

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's) The Product Brief October 07 Ver. 1.3 Group DN9000K10PCIe-4GL XilinxVirtex-5 Based ASIC Prototyping Engine, 4-lane PCI Express (Genesys Logic PHYs) Features PCI Express (4-lane) logic prototyping system

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

DVI I/O FMC Module Hardware Guide

DVI I/O FMC Module Hardware Guide DVI I/O FMC Module Hardware Guide Table of Contents 1.0 Introduction... 3 1.1 Description... 3 1.2 Features... 3 1.3 Ordering Information... 4 1.4 References... 4 2.0 Functional Description... 5 2.1 FMC...

More information

C160 Core 2 Duo VME SBC

C160 Core 2 Duo VME SBC C160 Core 2 Duo VME SBC Rugged 6U VME Single-Slot SBC Intel T7500/L7500/U7500 Core 2 Duo processor @ 2.2/1.67/1.06 GHz On-chip 32 kb Data/32 kb Instruction L1 Cache On-chip 4 MB L2 Cache Intel GM965 Chipset

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system 26th July 2005 Alberto Donato donato@elet.polimi.it Relatore: Prof. Fabrizio Ferrandi Correlatore:

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Digilent Cerebot Board Reference Manual Revision: 11/17/2005 www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent Cerebot Board is a useful tool for

More information

ML40x EDK Processor Reference Design

ML40x EDK Processor Reference Design ML40x EDK Processor Reference Design User Guide for EDK 8.1 R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

2 Slot AdvancedTCA Chassis User Manual

2 Slot AdvancedTCA Chassis User Manual 2 Slot AdvancedTCA Chassis User Manual TABLE of CONTENTS DESCRIPTION PAGE TITLE PAGE 1 TABLE of CONTENTS 2 1.0 General Information 5 1.1 Introduction 5 1.2 References 5 1.3 Company Overview 5 1.4 Features

More information

Implementation of Offloading the iscsi and TCP/IP Protocol onto Host Bus Adapter

Implementation of Offloading the iscsi and TCP/IP Protocol onto Host Bus Adapter Implementation of Offloading the iscsi and TCP/IP Protocol onto Host Bus Adapter Han-Chiang Chen Industrial Technology Research Institute jolly@itri.org.tw Zheng-Ji Wu Industrial Technology Research Institute

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs Co-Design and Co-Verification using a Synchronous Language Satnam Singh Xilinx Research Labs Virtex-II PRO Device Array Size Logic Gates PPCs GBIOs BRAMs 2VP2 16 x 22 38K 0 4 12 2VP4 40 x 22 81K 1 4

More information

Design of a Network Camera with an FPGA

Design of a Network Camera with an FPGA Design of a Network Camera with an FPGA Tiago Filipe Abreu Moura Guedes INESC-ID, Instituto Superior Técnico guedes210@netcabo.pt Abstract This paper describes the development and the implementation of

More information

Table of Contents. Figures. Figure 1: Communications/Memory Module Block Diagram...5 Figure 2: Part Placement Top...6. Tables

Table of Contents. Figures. Figure 1: Communications/Memory Module Block Diagram...5 Figure 2: Part Placement Top...6. Tables user s guide Communications/Memory Module Table of Contents 1.0 Overview...3 2.0 Capabilities Matrix...3 3.0 Block Diagram...5 4.0 Mechanical...6 5.0 Memory Interfaces...7 5.1 AvBus...7 5.2 SDRAM...7 5.3

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

High Speed Data Transfer Using FPGA

High Speed Data Transfer Using FPGA High Speed Data Transfer Using FPGA Anjali S S, Rejani Krishna P, Aparna Devi P S M.Tech Student, VLSI & Embedded Systems, Department of Electronics, Govt. Model Engineering College, Thrikkakkara anjaliss.mec@gmail.com

More information

Hardware Reference. DIL/NetPC DNP/2110 Board Revision 1.0

Hardware Reference. DIL/NetPC DNP/2110 Board Revision 1.0 DIL/NetPC DNP/2110 Board Revision 1.0 Hardware Reference SSV Embedded Systems Heisterbergallee 72 D-30453 Hannover Phone +49-(0)511-40000-0 Fax +49-(0)511-40000-40 E-mail: sales@ist1.de Manual Revision:

More information

C912 Freescale QorIQ T4 3U VPX SBC

C912 Freescale QorIQ T4 3U VPX SBC Freescale QorIQ T4 3U VPX SBC Rugged 3U VPX Single-Slot SBC Freescale QorIQ Multicore SOC Processor 8/4 e6500 Dual Thread Cores (T4160/T4080) AltiVec Unit Memory Resources 4 GB DDR3 @ 1600 MT/s with ECC,

More information

MTCA.4 TUTORIAL BASICS INTRODUCTION IN XTCA

MTCA.4 TUTORIAL BASICS INTRODUCTION IN XTCA MTCA.4 TUTORIAL BASICS INTRODUCTION IN XTCA TWEPP 2016 SEPTEMBER 26, 2016 KIT, KARLSRUHE Rüdiger Cölln Pentair Technical Solutions GmbH ruediger.coelln@pentair.com AGENDA What is xtca? Specifications Overview

More information

ML410 VxWorks Workbench BSP and System Image Creation for the BSB Design Using EDK 8.2i SP2. April

ML410 VxWorks Workbench BSP and System Image Creation for the BSB Design Using EDK 8.2i SP2. April ML410 VxWorks Workbench BSP and System Image Creation for the BSB Design Using EDK 8.2i SP2 April 2007 Overview Hardware Setup Software Setup & Requirements Generate VxWorks BSP Create VxWorks Project

More information

PCM-SC520-G AMD SC520 SBC with 10/100 Ethernet

PCM-SC520-G AMD SC520 SBC with 10/100 Ethernet PC/104 MODULE FEATURES 133MHz AMD SC520 CPU Supports Windows CE, Linux, and other x86- compatible operating systems (including DOS) PC/104-compliant form factor Up to 256Mbytes of SDRAM using SODIMM Optional

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 C11 Rugged 6U VPX Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

Xynergy XS Motherboard Rev3 Documentation April 24, 2012

Xynergy XS Motherboard Rev3 Documentation April 24, 2012 Topic Page Overview 1 DIMM Socket 1 Power Supply 2 I/O Voltage Selection 3 I/O Connectors 3,4 I2C/SMBUS 5 RS232 5 Testing I/Os 6 SD-Card 6 USB2.0 OTG 7 Ethernet Interface 7 CAN Interfaces 8 JTAG Interfaces

More information

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM Get to Know the TWR-VF65GS10 Dual Quad SPI K20 JTAG Header UART Selection

More information

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Data Sheet CHAMP-FX2 FPGA Accelerator Signal Processing Platform Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Two user-programmable Xilinx Virtex -5 FPGA nodes (LX110T or LX220T)

More information

WBD-222. Hardware Manual. Revision September Copyright 2010 WILIGEAR

WBD-222. Hardware Manual. Revision September Copyright 2010 WILIGEAR WBD-222 Hardware Manual Revision 1.2 15 September 2010 Copyright 2010 WILIGEAR www.wiligear.com Copyright 2010 WILIGEAR This user s guide and the software described in it are copyrighted with all rights

More information

T1042-based Single Board Computer

T1042-based Single Board Computer T1042-based Single Board Computer High Performance/Low Power DO-254 Certifiable SBC IP Features and Benefits Part of the COTS-D family of safety certifiable modules Single conduction-cooled rugged module

More information

XE 900: Fastest EPIC board now available with Windows XPe

XE 900: Fastest EPIC board now available with Windows XPe XE 900: Fastest EPIC board now available with Windows XPe The XE 900 SBC is a high performance, low power, x86 workhorse for embedded applications. It is an EPIC form factor SBC with a rich family of I/O

More information

XC-3 Hardware Manual. Version 1.3. Publication Date: 2009/09/25 Copyright 2009 XMOS Ltd. All Rights Reserved.

XC-3 Hardware Manual. Version 1.3. Publication Date: 2009/09/25 Copyright 2009 XMOS Ltd. All Rights Reserved. XC-3 Hardware Manual Version 1.3 Publication Date: 2009/09/25 Copyright 2009 XMOS Ltd. All Rights Reserved. XC-3 Hardware Manual (1.3) 2/14 1 Introduction The XC-3 is an Event-Driven Processor development

More information

AC/DC. Adapter. Ribbon. Cable Serial. Serial. Adapter. Figure 1. Hardware Setup using an EC2 Serial Adapter

AC/DC. Adapter. Ribbon. Cable Serial. Serial. Adapter. Figure 1. Hardware Setup using an EC2 Serial Adapter C8051F32X DEVELOPMENT KIT USER S GUIDE 1. Kit Contents The C8051F32x Development Kit contains the following items: C8051F320 Target Board C8051Fxxx Development Kit Quick-Start Guide C8051F32x Development

More information

ML410 BSB Design Adding the PLB TEMAC with RGMII Using EDK 8.2i SP1. April

ML410 BSB Design Adding the PLB TEMAC with RGMII Using EDK 8.2i SP1. April ML410 BSB Design Adding the PLB TEMAC with RGMII Using EDK 8.2i SP1 April 2007 Overview Hardware Setup Software Requirements Generate a Bitstream Transfer the Bitstream onto the FPGA Loading a Bootloop

More information

COMPUTING. MVME3100 Freescale MPC8540 VME SBC. Data Sheet

COMPUTING. MVME3100 Freescale MPC8540 VME SBC. Data Sheet COMPUTING Data Sheet The MVME3100 single-board computer (SBC) gives additional performance while protecting your investment System-on-chip Freescale MPC8540 with PowerPC e500 processor core, integrated

More information

ATCA Promentum. Hardware Reference Manual. Universal PMC Processing Module

ATCA Promentum. Hardware Reference Manual. Universal PMC Processing Module Promentum ATCA-1000 Hardware Reference Manual Universal PMC Processing Module www.radisys.com 007-01482-0000 December 2005 Copyright 2005 by RadiSys Corporation. All rights reserved. RadiSys and EPC are

More information

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at:

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: http://cmsdoc.cern.ch/cms/hcal/document/countinghouse/dcc/dcctechref.pdf Table

More information

MIPI Input Video Capture /Conversion Board [SVM-MIPI] Hardware Specification

MIPI Input Video Capture /Conversion Board [SVM-MIPI] Hardware Specification MIPI Input Video Capture /Conversion Board [SVM-MIPI] Hardware Specification Rev. NetVision Co., Ltd. Update History Revision Date Note 2018/04/24 New File(Equivalent to Japanese version 2.4) i Index 1.

More information

Virtex-II Pro (P4/P7) Development Board User s Guide

Virtex-II Pro (P4/P7) Development Board User s Guide Virtex-II Pro (P4/P7) Development Board User s Guide Version 4.0 June 2003 PN# DS -MANUAL-2VP4/7-FG456 Table of Contents 1 ABOUT THIS KIT...1 2 THE VIRTEX-II PRO DEVELOPMENT BOARD...1 2.1 CLOCK GENERATION...3

More information

Datasheet MCPN765. CompactPCI Peripheral Processor

Datasheet MCPN765. CompactPCI Peripheral Processor Datasheet MCPN765 CompactPCI Peripheral Processor Maximum performance and flexibility ideal for high availability applications The MCPN765 series of CompactPCI boards provides competitive processors, Motorola

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

Route Processor. Route Processor Overview. This chapter describes the route processor (RP) card. The following sections are included:

Route Processor. Route Processor Overview. This chapter describes the route processor (RP) card. The following sections are included: This chapter describes the route processor (RP) card. The following sections are included: Overview, page 1 Primary and Standby Arbitration, page 4 RP Card to Fabric Module Queuing, page 4 Performance,

More information