Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Size: px
Start display at page:

Download "Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc."

Transcription

1 SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, Atrenta Inc.

2 SoCs Are Driving Electronic Product Innovation SoC 2

3 Re-energizing the Semiconductor Industry System value is increasingly realized through system SoCs SoCs driving a new ecosystem SoCs are in everything Significant opportunity for the semiconductor industry by supporting this trend Reduce the barriers for adoption SoCs increase semiconductor gross margins Billions of units primarily in mobile phones, infrastructure & consumer 3

4 Today s SoC Not Just an IC Business Any Longer Typical Characteristics ARM DSP Standard Fabric TSMC Graphics Typically contains: Multi-CPU, DSP cores, graphics blocks, one or more fabrics, many IPs from diverse sources ARM & TSMC are the 800 lb. gorillas driving their own ecosystem Differentiate through peripherals and software Optimization for power, performance and area/cost (PPA) 4

5 But Many Challenges Face SoCs Business cost & time to market Technology Complexity Emerging industry trends 5

6 SoC Design Cost/Risk is Out of Control Increasing complexity means increased risk At 32nm, a typical design has ~50% chance to meet all objectives At 22nm, that number drops to ~30% SoC Development Cost Designer productivity must improve to match chip complexity The later a problem is detected, the more impact it will have on design schedules Source: Gartner Source: I.B.S. Inc. 6

7 Cost ($M) Consequence Less Opportunity Required Revenues Total Costs 0 90nm (60M) 65nm (90M) 45nm (120M) 32nm (150M) 22nm (180M) Feature Dimension (Transistor Count) Source: International Business Strategies 7

8 IC Vendors Must Deliver Complete Solutions IC vendors are expected to deliver the complete solution not just the chip The customers want their IC vendors to provide an increasing part of the application architecture and functionality, which includes both the software as well as the hardware. IC Vendor Product Portfolio Source: I.B.S. Inc. 8

9 Emerging Industry Trends Globalization of Work Flow IP Global Team #1 Global Team #2 Chip Integration Team Derivatives Changing Role of System Houses 9

10 Verified Clean IP Reduces Risks IP suppliers internal & external SoC teams internal & end-customer IP supplier 1 Chip project 1 IP1 IP supplier 2 IP supplier 3 IP Handoff Kit IP 2 IP 3 IP Accept. Kit Chip project 2 Chip project 3 Test Test IP supplier n Power Timing Clocks IP n Power Timing Clocks Chip project n Congestion Congestion Supporting files Supporting files 10

11 Platforms & Derivatives System Company Platform IP 1 IP 2 Silicon Company Error free, well designed IP Consistent methodology Access to special capabilities power management, BIST, Good hand-off Vendor portability Visibility, no surprises Error free, well designed IP Consistent methodology Good hand-off Rapid modification & integration No surprises By 2012, greater than 80% of 32nm SoC revenue will come from platform-based designs (Gartner) 11

12 PPA What Does It Mean PERFORMANCE Fabric generator Architectural behavior model-based SoC designer IP Library POWER Fabric & IP iteration SoC Assembly Make sure that the specs will be met before actually building the SoC AREA Physical SoC optimized for Silicon Realization 12

13 Changing Role of System Houses Want more control over their SoC Vendor independence single flow, deferred commit Differentiated IPs from independent sources Visibility & tracking 13

14 Recap: What s the Problem? SoCs are driving a new level of product innovation BUT SoC design cost is too high So are re-spin rates IP reuse is critical to success, but difficult & costly IC vendors need to deliver the complete solution Hardware, software, architecture Implementation readiness is crucial before P&R starts 14

15 The Answer: SoC Realization* System Realization Correct product definition Enterprise-level OS, middleware End user applications SoC Realization New EDA IP Reuse & assembly HW/SW optimization * With attribution to Cadence Correct SoC definition EDA Classic Synthesis, P&R Tapeout Silicon Realization 15

16 The Architecture of SoC Realization Application specifications Semiconductor IP Internal/3 rd party Ad hoc/structured IP qualification Software virtual prototype Structured ass y IP/platform reuse HW/SW Co-optimization Design exploration Define architecture (HW & SW) Choose correct IP Assemble chip Analyze, verify & optimize RTL analysis & optimization EDA Classic Implementation readiness 16

17 Ve r i f i c a t i o n I P L i b s & M o d e l s Quality & integration issues The SoC Design Flow Where is the Leverage? Software Virtual Prototype Instruction Approximate Cycle Accurate System Design Manufacturabilit y not yet known Hardware Virtual Prototype Assemble the Chip Mature the Design to an Implementation Ready State SoC Realization Early enough to fix problems easily Enough detail to find real problems Build the Actual SoC Physical Implementation Tapeout Classic EDA Too late to make a difference 17

18 EDA Classic SoC Realization V e r i f i c a t i o n System Design Components of the Process Application specs Instruction approximate model OS level Instruction accurate model Appl. scenarios Cycle accurate model Driver & perf. High-level synthesis IP Libraries & Models Structured assembly Register maps PPA analysis Linting Power DFT CDC Timing Congestion Implementation readiness Synthesis Place & Route Extraction/Verif. 18

19 What Does This Mean for EDA? A game-changing opportunity is opening for the EDA industry Focused solutions for the system SoC Facilitate IP supply chain creation, QC, handoff HW/SW solutions Coherence, virtual prototype Assembly, PPA analysis, implementation readiness 19

20 SoC Realization Ecosystem Large and expanding ecosystem System Co IP Supplier SpyLinks SoC Realization EDA Vendors Program Foundry Semis Unprecedented level of partnership & collaboration necessary Enabled by a rich set of standards 20

21 Reducing SoC Cost Will Grow Semiconductor Industry Reduce total SoC costs by reducing chip integration costs while growing IP content Chip Integration And Verification SOC Cost MKTA $250M MKTB $230M MKTF $120M MKTD $160M MKTE $140M MKTL $100M MKTC $180M MKTL $100M MKTG $80M MKTH $70M MKTJ $50M Available Market Customer IP 3 rd Party IP SoC s Potential Markets 21

22 21st Century The Information Economy...the long discussed convergence of computing, communication, content, control 18 October 2011 valuation XOM $US 384B AAPL $US 391B 22

23 Conclusion Industry leaders recognize the power of SoCs leverage in creating the killer apps Showtime has started Intel Atom and foundry services, Microsoft Windows on ARM, Represents a discontinuity for semiconductors/eda/ip SoC Realization provides high design leverage and is a major enabler of this trend Will require significant collaboration across the ecosystem 23

24 Thank you! 2011 Atrenta Inc.

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November 2007 Warren East Chief Executive Officer 1 Background Semiconductor Market ARM is a secular growth story with a 25+ year time horizon

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Investing for Innovation. Warren East CEO

Investing for Innovation. Warren East CEO Investing for Innovation Warren East CEO 1 Growth, Opportunity and Partnership Growing faster than the market Investing in growth opportunities Working together, creating solutions 2 Growing Faster than

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Best Practices of SoC Design

Best Practices of SoC Design Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris kurt.shuler@arteris.com Copyright 2014 Arteris Arteris Snapshot Founded in 2003; headquarters

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior Vice President Technology and Manufacturing Group Development & Manufacturing Strategy Process Technology Leadership Flexible Development Options Internal

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

Windows 10 IoT Overview. Microsoft Corporation

Windows 10 IoT Overview. Microsoft Corporation Windows 10 IoT Overview Microsoft Corporation 25 $7.2 BILLION TRILLION Connected things will by 2020 be in use by 2020 worldwide market for IoT solutions IDC: Worldwide and Regional Internet of Things

More information

SOFTWARE DRIVES HARDWARE, LESSONS LEARNED AND FUTURE DIRECTIONS

SOFTWARE DRIVES HARDWARE, LESSONS LEARNED AND FUTURE DIRECTIONS SOFTWARE DRIVES HARDWARE, LESSONS LEARNED AND FUTURE DIRECTIONS Rob Oshana Vice President, Software Engineering, R&D NXP Semiconductors Microcontroller and Microprocessors PUBLIC USE Key messages Software

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

ARM mbed mbed OS mbed Cloud

ARM mbed mbed OS mbed Cloud ARM mbed mbed OS mbed Cloud MWC Shanghai 2017 Connecting chip to cloud Device software Device services Third-party cloud services IoT device application mbed Cloud Update IoT cloud applications Analytics

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

ASYNC Rik van de Wiel COO Handshake Solutions

ASYNC Rik van de Wiel COO Handshake Solutions ASYNC 2006 Rik van de Wiel COO Handshake Solutions Outline Introduction to Handshake Solutions Applications Design Tools ARM996HS Academic Program Handshake Solutions Started as research project in Philips

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models Technology industry Reporting Insights Advisory Services Whitepaper by TIRIAS Research June 20, 2017 There has never been a better time to build your own custom application specific integrated circuit

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Global Strategies in the Converging Communications Industry

Global Strategies in the Converging Communications Industry Global Strategies in the Converging Communications Industry 58. Deutscher Betriebswirtschafter-Tag 27.9.2004 Dr. Matti Alahuhta Executive Vice President Chief Strategy Officer, Nokia 1 NOKIA 2004 Contents

More information

Accelerating Success with Cisco Partner Ecosystem

Accelerating Success with Cisco Partner Ecosystem Accelerating Success with Cisco Partner Ecosystem Ruma Balasubramanian VP, APJC Partner Organization 2014 Cisco and/or its affiliates. All rights reserved. Cisco Public 1 Theatre Strategies Help Us Capture

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

Revolutionizing Open. Cecilia Carniel IBM Power Systems Scale Out sales

Revolutionizing Open. Cecilia Carniel IBM Power Systems Scale Out sales Revolutionizing Open Cecilia Carniel IBM Power Systems Scale Out sales cecilia_carniel@it.ibm.com Copyright IBM Corporation 2015 Technical University/Symposia materials may not be reproduced in whole or

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

From Concept to Silicon

From Concept to Silicon From Concept to Silicon How an idea becomes a part of a new chip at ATI Richard Huddy ATI Research From Concept to Silicon Creating a new Visual Processing Unit (VPU) is a complex task involving many people

More information

Assembling and Debugging VPs of Complex Cycle Accurate Multicore Systems. July 2009

Assembling and Debugging VPs of Complex Cycle Accurate Multicore Systems. July 2009 Assembling and Debugging VPs of Complex Cycle Accurate Multicore Systems July 2009 Model Requirements in a Virtual Platform Control initialization, breakpoints, etc Visibility PV registers, memories, profiling

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY Leading at the edge IDM ADVANTAGE DR. MURTHY RENDUCHINTALA President - Client, IoT Businesses & Systems Architecture Group Disclosures Intel Technology and Manufacturing Day 2017 occurs during Intel s

More information

Leveraging cloud for real business transformation

Leveraging cloud for real business transformation Leveraging cloud for real business transformation Session 5000 - Harry Meier GLOBAL SPONSORS Silicon valley is coming. They all want to eat our lunch. Jamie Dimon, CEO JPMC No industry is immune to disruption

More information

WIND RIVER NETWORKING SOLUTIONS

WIND RIVER NETWORKING SOLUTIONS WIND RIVER NETWORKING SOLUTIONS TRANSFORMING THE NETWORK Businesses of all kinds are benefitting from the transformation of the networks they rely on, from LANs to WANs. Those network transformations are

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor PD Marketing ARM 1 Introduction to Cortex-A15 & Seahawk ARM Cortex-A15 is a high performance engine for superphones,

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

The Cloud Evolution. Tom Kilroy, Vice President General Manager, Digital Enterprise Group

The Cloud Evolution. Tom Kilroy, Vice President General Manager, Digital Enterprise Group The Cloud Evolution Tom Kilroy, Vice President General Manager, Digital Enterprise Group 1 Agenda Ÿ Intel Digital Enterprise Group Ÿ Evolution of Cloud Computing Ÿ Intel Activities In Cloud Computing 2

More information

Investor conference 2017 Hong Kong

Investor conference 2017 Hong Kong Investor conference 2017 Hong Kong Disclaimer The information presented and referred herein are based upon the information obtained internally and externally from our company. In light of the forward-looking

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Power: What s the problem?

Power: What s the problem? Power: What s the problem? Industry trends and solutions in low power design Steve Carlson, Low Power Solutions Systems Verification Group April 2015 Agenda Industry Trends Power: what s the problem The

More information

Managing the downturn, Ready for the Upswing

Managing the downturn, Ready for the Upswing Managing the downturn, Ready for the Upswing Scott McGregor President and Chief Executive Officer Agenda for today Managing the downturn, ready for the upswing Scott McGregor, President and CEO, Focus

More information

BUILDING the VIRtUAL enterprise

BUILDING the VIRtUAL enterprise BUILDING the VIRTUAL ENTERPRISE A Red Hat WHITEPAPER www.redhat.com As an IT shop or business owner, your ability to meet the fluctuating needs of your business while balancing changing priorities, schedules,

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013 Leading the 10Gig Wave Jefferies 2013 Global Technology Conference May 7, 2013 Company Snapshot Market Leader in 10GE for Big Data & Enterprise Aquantia has shipped 2 Million 10GBASE-T ports Fabless semiconductor

More information

Grow Your Services Business

Grow Your Services Business Grow Your Services Business Cisco Services Channel Program One Experience. Expanding Opportunities. Expand Your Services Practice More Profitably Together with Cisco Our customers face tough business

More information

RTOS, Linux & Virtualization Wind River Systems, Inc.

RTOS, Linux & Virtualization Wind River Systems, Inc. taeyong.kim@windriver.com RTOS, Linux & Virtualization 2008 Wind River Systems, Inc. Simple Board Simple Code 2 2008 Wind River Systems, Inc. start: /* disable interrupts in CPU and switch to SVC32 mode

More information

Electronic Design Automation

Electronic Design Automation Electronic Design Automation Everything you need to know but were afraid to ask Tuesday 4 th September ECT Forum Wesley Ryder Technical Director 2 Market Drivers On time but development costs 50% over

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Compute solutions for mass deployment of autonomy

Compute solutions for mass deployment of autonomy Compute solutions for mass deployment of autonomy Rod Watt Director of Vehicle Architecture and System Analysis Introduction 2 From inception to now 1990 Joint venture between Acorn Computers and Apple.

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Silicon Labs Corporate Overview

Silicon Labs Corporate Overview Silicon Labs Corporate Overview MARCH 2018 The leader in silicon, software and solutions for a smarter, more connected world. A World-Class Design Culture In 1996, a visionary group of engineers pioneered

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee 2017 Arm Limited How to design an IoT SoC and get Arm CPU IP for no upfront license fee An enhanced Arm DesignStart Building on a strong foundation Successfully used by 1000s of designers, researchers

More information

CVCG (CHG, PAG, CIG, MVE), SEG (SDG, HPG, CIPG), IPSG (PSG, MIG, CIG, PDS), DCG, icdg, CCG (CHD), SSG, TMG, Intel IT & Intel Labs are hiring!

CVCG (CHG, PAG, CIG, MVE), SEG (SDG, HPG, CIPG), IPSG (PSG, MIG, CIG, PDS), DCG, icdg, CCG (CHD), SSG, TMG, Intel IT & Intel Labs are hiring! CVCG (CHG, PAG, CIG, MVE), SEG (SDG, HPG, CIPG), IPSG (PSG, MIG, CIG, PDS), DCG, icdg, CCG (CHD), SSG, TMG, Intel IT & Intel Labs are hiring! Intel India Talent Acquisition August 07, 2018 CHG positions:

More information

High-Speed Satellite-Terrestrial Hybrid Communications enabling the Next Generation Connected Cars

High-Speed Satellite-Terrestrial Hybrid Communications enabling the Next Generation Connected Cars High-Speed Satellite-Terrestrial Hybrid Communications enabling the Next Generation Connected Cars Paul Febvre, CTO Alessandro Modigliana, Wireless Communications Technologies 09 October 2017 Agenda Vision

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Combining TLM & RTL Techniques:

Combining TLM & RTL Techniques: Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014 Hardware/Software Systems Software Bare Metal Applications Communications

More information

20 years of Lotus Notes and a look into the next 20 years Lotusphere Comes To You

20 years of Lotus Notes and a look into the next 20 years Lotusphere Comes To You 20 years of Lotus Notes and a look into the next 20 years Lotusphere Comes To You Kevin Cavanaugh, Vice President, Messaging and Collaboration Lotus Software and WebSphere Portal email@us.ibm.com Organizations

More information

Korea Semiconductor Test Now and the Future Tim Moriarty

Korea Semiconductor Test Now and the Future Tim Moriarty . Korea Semiconductor Test Now and the Future Tim Moriarty Vice President, Teradyne, Inc. President, Nextest Systems Corp. June, 2009 Key Note Topics Does Test Matter? Korea Today as a Leader Joint Innovation

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

PLX Technology. Investor Presentation March Silicon without Software is Just Sand

PLX Technology. Investor Presentation March Silicon without Software is Just Sand PLX Technology Investor Presentation March 2014 Silicon without Software is Just Sand 1 Safe Harbor Provision Certain statements in this presentation which are not historical facts may constitute "forwardlooking

More information

Open Server Architecture

Open Server Architecture EAB/OP-08:0052 Uen Rev A Open Server Architecture April 2008 Technology Paper The Open Server Architecture is flexible, open and easier to build applications on. This is achieved primarily through open

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

System on Chip (SoC) Design

System on Chip (SoC) Design System on Chip (SoC) Design Moore s Law and Technology Scaling the performance of an IC, including the number components on it, doubles every 18-24 months with the same chip price... - Gordon Moore - 1960

More information

Investor conference 2018/Q3

Investor conference 2018/Q3 Investor conference 2018/Q3 Disclaimer The information presented and referred herein are based upon the information obtained internally and externally from our company. In light of the forward-looking

More information

SoC Silicon and Software Design Cost Analysis: Costs for Higher Complexity Continue to Rise

SoC Silicon and Software Design Cost Analysis: Costs for Higher Complexity Continue to Rise Data Analysis Knowledge SoC Silicon and Software Design Cost Analysis: Costs for Higher Complexity Continue to Rise SC102-13 May 2013 Copyright Semico Research, 2013. All rights reserved. Reproduction

More information

Silicon Image HD Connectivity That Just Works

Silicon Image HD Connectivity That Just Works Needham January 2014 Silicon Image HD Connectivity That Just Works Safe Harbor Except for historical information contained herein, this presentation contains forward-looking statements within the meaning

More information

Volterra Semiconductor

Volterra Semiconductor Silicon Power Solutions Volterra Semiconductor Jefferies 2013 Conference May 7, 2013 Mike Burns, CFO Nasdaq: VLTR Safe Harbor Statements This presentation contains forward-looking statements based on current

More information

Silicon Labs Corporate Overview

Silicon Labs Corporate Overview Silicon Labs Corporate Overview APRIL 2018 The leader in silicon, software and solutions for a smarter, more connected world. A World-Class Design Culture In 1996, a visionary group of engineers pioneered

More information