Temperature-Aware Routing in 3D ICs

Size: px
Start display at page:

Download "Temperature-Aware Routing in 3D ICs"

Transcription

1 Temperature-Aware Routing in 3D ICs Tianpei Zhang, Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota 1

2 Outline Temperature-aware 3D global routing Introduction to 3D ICs and global routing model Thermal model in 3D routing 3D global routing flow Linear programming-based thermal via and thermal wire insertion for temperature reduction Experimental results 2

3 Outline Temperature-aware 3D global routing Introduction to 3D ICs and global routing model Thermal model in 3D routing 3D global routing flow Linear programming-based thermal via and thermal wire insertion for temperature reduction Experimental results 3

4 3D Integrated Circuits 3D ICs: Stacking multiple device layers into a monolithic structure. interlayer dielectric{ lateral interconnect metals vertical interlayer via device layer silicon substrate Advantages over 2D ICs: Better performance: wire length, timing, power, etc. Integrate more devices. Good substrate isolation, platform to integrate digital ICs, analog ICs for system on chip (SoC) application. 4

5 3D IC Global Routing Challenges 3D ICs are plagued with thermal problems: High power density. High thermal resistance of insulating dielectric. 3D global routing should be electrothermally-conscious: 3D Placement 3D power distribution 3D temperatureaware global router Thermal analysis model 3D global routing solution satisfies: wirelength, congestion, and temperature requirements More challenges: routing in three dimensions: inherently more complex than routing in 2D ICs. 5

6 3D Global Routing Model c routing grid Routing constraints: routing graph edge routing graph vertex a vertical routing edge a grid cell boundary Interlayer via: a grid cell at the corner Large (manufacturability and reliability consideration). Intralayer edge: wire usage edge capacity Vertical edge: interlayer via usage interlayer capacity Go through device layer, compete with devices for silicon area; maximum number of interlayer vias is determined by white space. 6

7 Outline Temperature-aware 3D global routing Introduction to 3D ICs and global routing model Thermal model in 3D routing 3D global routing flow Linear programming-based thermal via and thermal wire insertion for temperature reduction Experimental results 7

8 Reducing Temperature in 3D Routing Build good heat conduction path through dielectric: Thermal vias: interlayer vias dedicated to thermal conduction. Thermal wires: metal wires improve lateral heat conduction. Thermal vias + thermal wires a thermal conduction network. thermal vias thermal wires Thermal wires: compete with lateral signal wire routing. Thermal vias: large, can block lateral signal routing capacity. 8

9 Thermal Analysis Model Thermal circuit model (C. H. Tsai, et al, IEEE Trans. CAD, 2000): Ability to handle non-uniform thermal conductivity. Ease of handling sensitivity information. Temperature profile vector Roxide, z Rint. via, z Rmix, z R R R z x y R R R oxide oxide oxide, z, x, y R R R int, int, Rz int. via, z x y Ry Rx R R R mix mix, x mix, y, z MT P Nodal analysis coefficient matrix Power generated in cells Power dissipation vector 9

10 Thermal Analysis Model MT P S ij s en s itiv it y o f t em p er atu r e Ti t o t h e n u m b er o f in t er lay er v ias V j s i j T M i kl T V i j ik M T k l l T i S j V j T M i kl M V MT P kl j Adjoint sensitivity analysis To identify locations where thermal via and thermal wire insertions are most effective. 10

11 Outline Temperature-aware 3D global routing Introduction to 3D ICs and global routing model Thermal model in 3D routing 3D global routing flow Linear programming-based thermal via and thermal wire insertion for temperature reduction Experimental results 11

12 Temperature-Aware 3D Global Routing Problem Formulation Given: Placement of a 3D IC. Power map of a 3D IC. 3D technology parameters. A specified value of maximum temperature, Tspec. Goal: A global routing solution with thermal vias and thermal wires inserted, and satisfies: Routing wire capacity and interlayer via capacity. Peak temperature lowered towards Tspec. 12

13 3D Temperature-Aware Global Routing Flow 3D MST and routing congestion estimation Initial routing Temperature reduction Signal interlayer via assignment 2D maze routing to get initial routing solution Evaluating temperature and sensitivity analysis for hot spots LP-based thermal via and thermal wire insertion Rip-up-and-reroute NO Free from congestion and thermal violation? or no further improvement? YES Post thermal via and thermal wire insertion END 13

14 3D MST and Routing Congestion Estimation 3D Minimum Spanning Tree (MST): decompose all nets into a set of 2-pin nets. Cost function: C ij x x y y z z i j i j i j large weight to discourage interlayer vias. L-shape routing Routing Congestion Estimation: Take all 2-pin nets as input. L/Z-shape routing model. Equal probability to take any interlayer via position within the net bounding box. Z-shape routing 14

15 Signal Interlayer Via Assignment Determine the signal interlayer via positions for all interlayer nets so that a cost function is minimized. Wire length + Congestion cost Hierarchical approach: Recursively divide all device layers into two neighboring groups of equal size. assign signal vias first Signal interlayer via assignment at boundaries in a top-down way following the hierarchy. layer 0 group 0 layer 1 group 1 layer 2 layer 3 assign signal vias after higher-level assignment 15

16 Via Assignment and 2D Maze Routing Signal interlayer via assignment at each boundary: Each interlayer net i node Ni. Each possible interlayer via position j node Cj. An edge directed from Ni to Cj, if position j is within the bounding box of net i. Solve the min-cost network flow problem. 2D Maze Routing: Propagates wave-front-like expansion. Includes temperature term in cost function: net seeks lowtemperature path, better delay, less congestion in hot region. Rip-up and reroute. S (1, 0) N0 N1 N2 N3 N4 C0 C1 (1, cost(ni, Cj)) C2 C3 (capacity, cost) pair (Uj, 0) 16 T

17 Outline Temperature-aware 3D global routing Introduction to 3D ICs and global routing model Thermal model in 3D routing 3D global routing flow Linear programming-based thermal via and thermal wire insertion for temperature reduction Experimental results 17

18 Thermal Via and Thermal Wire Insertion In itial 3D ro u tin g s o lu tio n Thermal analysis Up d ate tem p eratu re p ro file, o b tain c u rren t h ig h es t tem p eratu re Tm ax Set u p targ et tem p eratu re Ttarg=Tmax Tspec 1- Thermal via / wire insertion Perfo rm s en s itiv ity an aly s is fo r tem p eratu re v io latio n s p o ts w ith T > T targ LP-based thermal via / wire insertion L in ear p ro g ram m in g (L P) b as ed th erm al v ia an d th erm al w ire in s ertio n Rip -u p -an d -rero u te fo r res o lv in g o v erflo w Feas ib le 3D ro u tin g s o lu tio n Still tem p eratu re/c o n g es tio n v io latio n? 18

19 LP-based Thermal Via / Wire insertion In each iteration, resolve temperature violation of T > Ttarg in routing solution : linear programming (LP) based thermal via/wire insertion. Temperature violation spots Temperature violation spots with T > Ttarg Sensitivity information sij Linear programming (LP) based thermal via/wire insertion Routing capacity constraint Thermal via/wire insertion solution 19

20 LP-based Thermal Via / Wire Insertion Linear programming formulation: minimize total resource usage temperature constraint routing capacity constraint minimize subject to: p j1 N N i v, j -s w, k v,ij N v,j p j1 min(( 1 N q k1 (1 ) R v,j s i 1,2..., n, T ) R w, k w, ik v, j 0, i 1,2,..., n; N q k1 N, U N T, k 1,2..., q v, j w, k j w, k i 0, T, j i i V ), n i1 T j 1,2,..., p j 1,2,..., p; N i i targ relaxation variable to guarantee feasibility number of thermal vias and thermal w ires inserted sensitivities of temperature to number of thermal vias/ w ires w, k 0, k 1,2,..., q 20

21 LP-based Thermal Via / Wire Insertion Routing capacity constraints are relaxed by a factor : N v, j min((1 ) R v, j, U j V j ), j 1,2,..., p N w, k (1 ) R w, k, k 1,2..., q Temporarily permit routing overflow, allow better temperature reduction. Rip-up-and-reroute will resolve the overflow. Optimized solution reached in a small number of iterations. 21

22 Outline Temperature-aware 3D global routing Introduction to 3D ICs and global routing model Thermal model in 3D routing 3D global routing flow Linear programming-based thermal via and thermal wire insertion for temperature reduction Experimental results 22

23 Experimental Results Our algorithm: temperature-aware 3D global routing (TA) is experimented on 8 benchmarks for 0.18m technology: Chip size: 5mm x 5mm. Randomly generated power density : 10W/cm 2 800W/cm 2. Target temperature : 80 o C. Comparison algorithm 1: post (P) insertion of thermal vias and thermal wires greedily, after the same initial routing. Comparison algorithm 2: same framework as TA, but insertion of only thermal vias (V), no thermal wire insertion. Comparison algorithm 3: distribute thermal vias and thermal wires uniformly (U), with the same total amount. 23

24 Experimental Results Peak circuit temperature comparison Peak temperature ( o C) biomed industry2 industry3 ibm01 ibm02 ibm03 ibm04 ibm06 initial temperature TA P V U Benchmark circuits Av erage circuit temper ature compar ison Average temperature ( o C) biomed industry2 industry3 ibm01 ibm02 ibm03 ibm04 ibm06 TA P V U Benchmark circuits 24

25 Experimental Results Wire len gth comparison Total wire length (x10 5 ) biomed industry2 industry3 ibm01 ibm02 ibm03 ibm04 ibm06 TA P V U Benchmark circuits Circuit # routing overflows Circuit # of routing overflows TA P V U TA P V U biomed ibm industry ibm industry ibm ibm ibm # of overflows comparison 25

26 Experimental Results Temperature-aware 3D routing (TA) : Effectively reduce temperature by appropriate allocation of thermal via and thermal wire insertion. Almost no peak temperature violation. Effectively resolve congestion violation. Comparable wire length performance with other approaches. Post-insertion approach (P): No optimization of resource during routing; max peak temperature difference higher than TA approach: 30.8C; average peak temperature difference: 22.7C. Thermal via only approach (V) : No thermal wires help lateral heat conduction; max peak temperature difference higher than TA approach: 50.2C; average peak temperature difference: 32.1C. Uniform distribution approach (U) : No consideration of lateral routing congestion, huge overflow. 26

27 Conclusion Temperature-aware aware 3D global routing: effectively reduces peak temperatures to meet design requirements. Heat dissipation resources are effectively allocated, compared with other approaches: Post insertion approach, 30.8C higher peak temperature. Thermal via only approach, 50.2C higher peak temperature. Routing capacity constraints are satisfied with effective allocation of heat dissipation resources based on sensitivity analysis and linear programming. 27

28 28

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

UNIVERSITY OF MINNESOTA

UNIVERSITY OF MINNESOTA UNIVERSITY OF MINNESOTA This is to verify that I have examined this copy of a doctoral thesis by Tianpei Zhang and have found that it is complete and satisfactory in all aspects, and that any and all revisions

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Optimized Pin Assignment for Lower Routing Congestion After Floorplanning Phase

Optimized Pin Assignment for Lower Routing Congestion After Floorplanning Phase Optimized Pin Assignment for Lower Routing Congestion After Floorplanning Phase Tianpei Zhang and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota Introduction

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

Co-optimization of TSV assignment and micro-channel placement for 3D-ICs

Co-optimization of TSV assignment and micro-channel placement for 3D-ICs THE INSTITUTE FOR SYSTEMS RESEARCH ISR TECHNICAL REPORT 2012-10 Co-optimization of TSV assignment and micro-channel placement for 3D-ICs Bing Shi, Ankur Srivastava and Caleb Serafy ISR develops, applies

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Thermal-Aware 3D IC Placement Via Transformation

Thermal-Aware 3D IC Placement Via Transformation Thermal-Aware 3D IC Placement Via Transformation Jason Cong, Guojie Luo, Jie Wei and Yan Zhang Department of Computer Science University of California, Los Angeles Los Angeles, CA 90095 Email: { cong,

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Physical Co-Design for Micro-Fluidically Cooled 3D ICs

Physical Co-Design for Micro-Fluidically Cooled 3D ICs Physical Co-Design for Micro-Fluidically Cooled 3D ICs Zhiyuan Yang, Ankur Srivastava Department of Electrical and Computer Engineering University of Maryland, College Park, Maryland, 20742 Email: {zyyang,

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout A Study of Through-Silicon-Via Impact on the Stacked IC Layout Dae Hyun Kim, Krit Athikulwongse, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta,

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Thermal-Driven Multilevel Routing for 3-D ICs

Thermal-Driven Multilevel Routing for 3-D ICs Thermal-Driven Multilevel Routing for 3-D ICs Jason Cong and Yan Zhang Computer Science Department, UCLA Los Angeles, CA 90095 tel. 310-206-5449, fax. 310-825-2273 cong, zhangyan@cs.ucla.edu Abstract 3-D

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Thermal Via Planning for 3-D ICs

Thermal Via Planning for 3-D ICs Thermal Via Planning for 3-D ICs Jason Cong Computer Science Department, UCLA Los Angeles, CA 90095 cong@cs.ucla.edu Yan Zhang Computer Science Department, UCLA Los Angeles, CA 90095 zhangyan@cs.ucla.edu

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

SEMICONDUCTOR industry is beginning to question the

SEMICONDUCTOR industry is beginning to question the 644 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 3, SEPTEMBER 2006 Placement and Routing for 3-D System-On-Package Designs Jacob Rajkumar Minz, Student Member, IEEE, Eric Wong,

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

An Exact Algorithm for the Statistical Shortest Path Problem

An Exact Algorithm for the Statistical Shortest Path Problem An Exact Algorithm for the Statistical Shortest Path Problem Liang Deng and Martin D. F. Wong Dept. of Electrical and Computer Engineering University of Illinois at Urbana-Champaign Outline Motivation

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

THE continuous increase of the problem size of IC routing

THE continuous increase of the problem size of IC routing 382 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 3, MARCH 2005 MARS A Multilevel Full-Chip Gridless Routing System Jason Cong, Fellow, IEEE, Jie Fang, Min

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

Automatic Cell Layout in the 7nm Era

Automatic Cell Layout in the 7nm Era Automatic Cell Layout in the 7nm Era Pascal Cremer, Stefan Hougardy, Jan Schneider, and Jannik Silvanus Research Institute for Discrete Mathematics University of Bonn March 21, 2017 1 / 24 Increasing complexity

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Routing Robust Channel Router Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Channel Routing Algorithms Previous algorithms we considered only work when one of the types

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 RegularRoute: An Efficient Detailed Router Applying Regular Routing Patterns Yanheng Zhang and Chris Chu Abstract In this paper, we propose

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Prerequisites for Rou4ng

Prerequisites for Rou4ng Basic Zroute Flow Prerequisites for Rou4ng Library requirements Zroute gets all of the design rule informa4on from the technology file; therefore, you must ensure that all design rules are defined in the

More information

A Partition-based Algorithm for Power Grid Design using Locality

A Partition-based Algorithm for Power Grid Design using Locality A Partition-based Algorithm for Power Grid Design using Locality 1 Jaskirat Singh Sachin S. Sapatnekar Department of Electrical & Computer Engineering University of Minnesota Minneapolis, MN 55455 jsingh,sachin

More information

Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Pre-Assignment

Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Pre-Assignment IEEE TRANSACTION ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1 Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Pre-Assignment Yixiao Ding, Student Member, IEEE,

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Hybrid Couplers 3dB, 90º Type PC2025A2100AT00

Hybrid Couplers 3dB, 90º Type PC2025A2100AT00 GENERAL DESCRIPTION The PC2025A2100AT00 is a RoHS compliant low profile wideband 3dB hybrid coupler which can support mobile applications, including PCS and DCS applications. The power coupler series of

More information

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN, VOL XX, NO. XX, 2005 1 Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Navaratnasothie Selvakkumaran and

More information

Congestion Reduction during Placement with Provably Good Approximation Bound

Congestion Reduction during Placement with Provably Good Approximation Bound Congestion Reduction during Placement with Provably Good Approximation Bound X. YANG Synplicity, Inc. M. WANG Cadence Design Systems, Inc. R. KASTNER University of California, Santa Barbara and S. GHIASI

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

ML Varistor Series. Surface Mount Varistors

ML Varistor Series. Surface Mount Varistors Pb The ML Series family of Transient Voltage Surge Suppression devices is based on the Littelfuse Multilayer fabrication technology. These components are designed to suppress a variety of transient events,

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Prediction and Reduction of Routing Congestion

Prediction and Reduction of Routing Congestion rediction and Reduction of Routing Congestion Mehdi Saeedi Morteza Saheb Zamani Ali Jahanian Amirkabir University of Technology Computer Engineering Department Tehran, Iran {saeedi, szamani, jahanian}@ce.aut.ac.ir

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Chhattisgarh Swami Vivekanand Technical University, Bhilai

Chhattisgarh Swami Vivekanand Technical University, Bhilai Sr. No. Chhattisgarh Swami Vivekanand Technical University, Bhilai SCHEME OF MASTER OF TECHNOLOGY Electronics & Telecommunication Engineering (VLSI & Embedded System Design) Board Of Studies Code M. Tech.

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

LED Driver Linear / area dimming

LED Driver Linear / area dimming Driver LC 35W 7mA -1V UNV sl ADV ADVANCED series Product description Built-in constant current For dry and damp location Max. output power 35 W Up to 85 % efficiency Nominal life-time up to 5, h For luminaires

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

AN AUTOMATED INTERCONNECT DESIGN SYSTEM

AN AUTOMATED INTERCONNECT DESIGN SYSTEM AN AUTOMATED INTERCONNECT DESIGN SYSTEM W. E. Pickrell Automation Systems, Incorporated INTRODUCTION This paper describes a system for automatically designing and producing artwork for interconnect surfaces.

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias Moongon Jung and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia, USA Email:

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

InterPACKICNMM

InterPACKICNMM Proceedings of the ASME 2015 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems and ASME 2015 12th International Conference on Nanochannels,

More information

Digital VLSI Design. Lecture 9: Routing

Digital VLSI Design. Lecture 9: Routing Digital VLSI Design Lecture 9: Routing Semester A, 018-19 Lecturer: Dr. Adam Teman January 5, 019 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from sources

More information

A Multi-Layer Router Utilizing Over-Cell Areas

A Multi-Layer Router Utilizing Over-Cell Areas A Multi-Layer Router Utilizing Over-Cell Areas Evagelos Katsadas and Edwin h e n Department of Electrical Engineering University of Rochester Rochester, New York 14627 ABSTRACT A new methodology is presented

More information

Congestion Reduction during Placement with Provably Good Approximation Bound 1

Congestion Reduction during Placement with Provably Good Approximation Bound 1 Congestion Reduction during Placement with Provably Good Approximation Bound 1 Xiaojian Yang Maogang Wang Ryan Kastner Soheil Ghiasi Majid Sarrafzadeh Synplicity Inc. Sunnyvale, California Cadence Design

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST 017 187 Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits Qi Xu, Song Chen, Member,

More information

Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost *

Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost * Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost * Abstract A 2.5D IC provides a silicon interposer to integrate multiple dies into a package, which

More information

3-D INTEGRATED CIRCUITS (3-D ICs) are emerging

3-D INTEGRATED CIRCUITS (3-D ICs) are emerging 862 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 5, MAY 2013 Study of Through-Silicon-Via Impact on the 3-D Stacked IC Layout Dae Hyun Kim, Student Member, IEEE, Krit

More information

Parallel Global Routing Algorithms for Standard Cells

Parallel Global Routing Algorithms for Standard Cells Parallel Global Routing Algorithms for Standard Cells Zhaoyun Xing Computer and Systems Research Laboratory University of Illinois Urbana, IL 61801 xing@crhc.uiuc.edu Prithviraj Banerjee Center for Parallel

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information