Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Size: px
Start display at page:

Download "Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs"

Transcription

1 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

2 Introduction Challenges in 2D Device Scaling 2/16 130nm nm nm nm 2014 challenges channel length scaling increasing contact resistivity lithography limitation increasing wire resistance higher manufacturing cost How about placing cells in 3D space?

3 Introduction Three-dimensional (3D) ICs 3/16 Wafers are stacked vertically Benefits from short vertical connections Three flavors of 3D ICs MIV F2F-bond TSV-based 3D IC[1] easier and reliable vertical connection F2F-bonded 3D IC[1] Monolithic 3D IC[1] higher vertical integration density [1] S. Panth et al., "Design and CAD Methodologies for Low Power Gate-level Monolithic 3D ICs," IEEE International Symposium on Low Power Electronics and Design, 2014

4 Introduction Challenges in 3D ICs 4/16 Tools No EDA tools supporting 3D cell placement Requires tricks to place cells in 3D space Heat dissipation Higher temperature due to increased power density Requires thermal-aware packaging and 3D cell placement

5 3D IC Implementation Flow Cascade-2D Flow 5/16 How to place cells in 3D space? Mimic vertical connections with sets of anchor cells and dummy wires Implement two tiers simultaneously in a single emulated 2D design (cascade-2d design) top tier M3_T M2_T M1_T Slide Cut bottom tier MIV M6_B M5_B M4_B M3_B M2_B M1_B Monolithic 3D IC cascade-2d design in 2D EDA tools [2] K. Chang et al., "Cascade2D: A Design-Aware Partitioning Approach to Monolithic 3D IC with 2D Commercial Tools," IEEE International Conference on Computer-Aided Design, 2016

6 3D IC Implementation Flow Cascade-2D Flow Details 6/16 1. Design-aware partitioning (cell z-location) Perform block-level partitioning, maximizing timing paths crossing tiers 2. Vertical connection planning (vertical connection location) Determine vertical connection location, minimizing wire-length btw blocks 3. Cascade-2D design implementation (cell x-y location & routing) Implement the emulated 2D version of an M3D IC bottom partition Anchor cells and dummy wires act as a wormhole emulating vertical connections top partition dummy wire 0ns M8 M6 0ns 0ns M1 bottom tier area anchor cell cutline top tier area anchor cell

7 3D IC Implementation Flow Iso-performance Power Comparison 7/16 Design: Arm Cortex -A7 core Technology: Foundry 28nm, 14/16nm, and predictive 7nm technology 3D IC flavor: Monolithic 3D IC (M3D) 28nm 2D 28nm M3D

8 3D IC Implementation Flow Source of Power Savings 8/16 3D IC offers both wire-length and standard cell area savings

9 Thermal Analysis Flow Thermal Analysis Methodology 9/16 layer map (FEOL+BEOL) active of top wafer design + cell layouts conductivity map ANSYS Fluent active of bottom wafer thermal profile cell placement + power volume power

10 Thermal Analysis Flow Assumed Thermal Stack Configuration 10/16 thickness (um) material heat sink 1,000 Cu heat spreader 100 Cu TIM 25 Grease substrate 45 Si underfill 25 SiO 2 C4 25 Cu PCB 1,200 PCB

11 Thermal Analysis on F2F-bonded 3D ICs Temperature Comparison: 2D vs. F2F-bonded 3D IC 11/16 F2F-bonded IC (top) ºC 2D 103 ºC Min: ºC, Max: ºC F2F-bonded IC (bottom) 93.6 ºC 84.2 ºC Min: 65.3 ºC, Max: 72 ºC +40 ºC 74.7 ºC Min: ºC, Max: ºC 65.3 ºC

12 Thermal Analysis on F2F-bonded 3D ICs Impact of Frequency on Temperature 12/16 steeper increase max performance w/o thermal issue

13 Thermal Analysis on F2F-bonded 3D ICs Thermal Issue of F2F-bonded 3D ICs 13/16 M6_T heat sink FEOL M5_T M1_T M2_T M3_T BEOL M4_T PCB 2D IC M6_T heat sink FEOL M3_T M4_T M5_T M6_B M5_B M1_T M2_T BEOL trapped heat M4_B BEOL M3_B M2_B M1_B FEOL PCB F2F-bonded 3D IC

14 Thermal Analysis on F2F-bonded 3D ICs Impact of Packaging on Temperature 2D IC w/o PCB 77.3 ºC 72.3 ºC F2F-bonded 3D IC bottom w/o PCB top w/o PCB 14/ ºC ºC Min: 71.1 ºC, Max: 77.3 ºC 67.3 ºC Min: ºC Max: ºC Min: ºC Max: ºC ºC w/ PCB 62.3 ºC bottom top w/ PCB w/ PCB -5.3 ºC 57.3 ºC ºC ºC ºC ºC Min: 65.3 ºC, Max: 72 ºC 52.3 ºC Min: ºC Max: ºC Min: ºC Max: ºC Packaging affects more in F2F-bonded 3D ICs 99.4 ºC

15 Future Works 15/16 Impact of packaging Find thermal-aware packaging for F2F-bonded 3D ICs How to reduce trapped heat in the middle? Thermal-aware cell partitioning Place power hungry cells on top tier Impact of power-delivery network Utilize TSVs for better conduction to PCB Commercial tool Use commercial EDA tools for accurate thermal analysis

16 Thank You 16/16

17 3D IC Implementation Flow Design-Aware Partitioning Stage (cell z loc.) 17/16 Partition functional blocks into two groups Microarchitecture organization à Floorplan timing-critical functional blocks on different tiers Information from 2D implementation Functional block area The number of timing paths crossing each pair of functional blocks Partition functional blocks into two groups Balance area Maximize the number of timing-path crossing two groups

18 3D IC Implementation Flow MIV Planning Stage (MIV loc.) 18/16 Minimize the distance between functional blocks with large number of timing paths top group implementation bottom group implementation MIV ports (on M6) A D F B E C location of MIVs MIV ports (on M6)

19 3D IC Implementation Flow Cascade-2D Stage (cell x-y loc. & routing) 19/16 Dummy wires Connect MIV port pairs in two partitions Anchor cells à Connect MIV ports to M1 in top partition, M6 in bottom partition Perform place & route with hard partition between tiers Anchor cells and dummy wires act as a wormhole emulating MIVs bottom partition top partition dummy wire 0ns M8 M6 0ns 0ns M1 bottom tier area anchor cell cutline top tier area anchor cell

20 F2F-bonded 3D IC Implementation Flow Cascade-2D Flow 20/16 No EDA tools supporting 3D cell placement Use tricks to place cells in 3D space with 2D tools Implement two wafers simultaneously in a single emulated 2D design (cascade-2d design) cut top wafer M1_T slide dummy wire flip M4_T M4_B bot wafer M1_B anchor cell anchor cell F2F-bonded IC cascade-2d design in 2D EDA tools

21 F2F-bonded 3D IC Implementation Flow Design-Aware Partitioning Stage (cell z loc.) 21/16 Partition functional blocks into two groups Microarchitecture organization à Floorplan timing-critical functional blocks on different tiers Information from 2D implementation Functional block area The number of timing paths crossing each pair of functional blocks Partition functional blocks into two groups Balance area Maximize the number of timing-path crossing two groups

22 F2F-bonded 3D IC Implementation Flow F2F-bonds Planning Stage (F2F-bond loc.) 22/16 Minimize the distance between functional blocks with large number of timing paths top group implementation bottom group implementation F2F-bond ports (on M6) A D F B E C location of F2F-bonds F2F-bond ports (on M6)

23 F2F-bonded 3D IC Implementation Flow Cascade-2D Stage (cell x-y loc. & routing) 23/16 Dummy wires Connect F2F-bond port pairs in two partitions Anchor cells à Connect F2F-bond ports to M6 of each partition Perform place & route with hard partition between tiers Anchor cells and dummy wires act as a wormhole emulating MIVs dummy wire bottom partition top partition 0ns 0ns 0ns bottom wafer area anchor cell cutline top wafer area anchor cell

24 F2F-bonded 3D IC Implementation Flow Experimental Setup 24/16 Design: Arm Cortex -A7 core Technology: CLN16FCLL ULVT Target Frequency: F2F-bonds size/pitch: 0.5um/1um top wafer design M1_T M2_T M3_T M4_T M5_T M6_T PAD_T F2F-bond PAD_B M6_B M5_B M4_B M3_B M2_B 2D IC F2F-bonds F2F-bonded 3D IC M1_B bottom wafer design

25 F2F-bonded 3D IC Implementation Flow Design / Power Metric Comparison 25/16 metrics 2D IC F2F-bonded 3D IC % target freq (GHz) footprint (um) 523x x cell count 199, , std. cell area (um 2 ) 69,009 68, density (%) wire-length (um) 3,025,229 2,885, pin cap (pf) wire cap (pf) total cap (pf) sw power (mw) int power (mw) lkg power (mw) tot power (mw)

26 F2F-bonded 3D IC Implementation Flow Reason for Low Power Saving 26/16 Slow memory Almost all critical paths start from memory blocks Standard cell area reduction happens only on those paths Memory floorplan Non-optimal F2F-bond placement congestion congestion bottom wafer top wafer

27 Thermal Analysis Flow Assumed Thermal Stack Configuration 27/16 width/height thickness (um) material K (W/m-K) heat sink wafer width/height * 2 1,000 Cu 401 heat spreader wafer width/height * Cu 401 TIM wafer width/height 25 Grease 5 substrate wafer width/height 45 Si 149 underfill wafer width/height 25 SiO C4 25um (pitch 50um) 25 Cu 401 PCB wafer width/height *2 1,200 PCB 4.5(V) / 60(L)

Monolithic 3D IC Design for Deep Neural Networks

Monolithic 3D IC Design for Deep Neural Networks Monolithic 3D IC Design for Deep Neural Networks 1 with Application on Low-power Speech Recognition Kyungwook Chang 1, Deepak Kadetotad 2, Yu (Kevin) Cao 2, Jae-sun Seo 2, and Sung Kyu Lim 1 1 School of

More information

POWER, PERFORMANCE, AND COST IMPACT OF GATE-LEVEL MONOLITHIC 3D IC IN THE 7NM TECHNOLOGY NODE. A Dissertation Presented to The Academic Faculty

POWER, PERFORMANCE, AND COST IMPACT OF GATE-LEVEL MONOLITHIC 3D IC IN THE 7NM TECHNOLOGY NODE. A Dissertation Presented to The Academic Faculty POWER, PERFORMANCE, AND COST IMPACT OF GATE-LEVEL MONOLITHIC 3D IC IN THE 7NM TECHNOLOGY NODE A Dissertation Presented to The Academic Faculty By Bon Woong Ku In Partial Fulfillment of the Requirements

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

How Much Cost Reduction Justifies the Adoption of Monolithic 3D ICs at 7nm Node?

How Much Cost Reduction Justifies the Adoption of Monolithic 3D ICs at 7nm Node? How Much Cost Reduction Justifies the Adoption of Monolithic 3D ICs at 7nm Node? Bon Woong Ku, Peter Debacker, Dragomir Milojevic, Praveen Raghavan, and Sung Kyu Lim School of ECE, Georgia Institute of

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Tier Partitioning Strategy to Mitigate BEOL Degradation and Cost Issues in Monolithic 3D ICs

Tier Partitioning Strategy to Mitigate BEOL Degradation and Cost Issues in Monolithic 3D ICs Tier Partitioning Strategy to Mitigate BEOL Degradation and Cost Issues in Monolithic 3D ICs Sandeep Kumar Samal, Deepak Nayak, Motoi Ichihashi, Srinivasa Banna, and Sung Kyu Lim School of ECE, Georgia

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

Tier-Partitioning for Power Delivery vs Cooling Tradeoff in 3D VLSI for Mobile Applications

Tier-Partitioning for Power Delivery vs Cooling Tradeoff in 3D VLSI for Mobile Applications Tier-Partitioning for Power Delivery vs Cooling Tradeoff in 3D VLSI for Mobile Applications Shreepad Panth, Kambiz Samadi, Yang Du, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology Shreepad Panth 1, Kambiz Samadi 2, Yang Du 2, and Sung Kyu Lim 1 1 Dept. of Electrical and Computer Engineering, Georgia

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Aditya Agrawal, Josep Torrellas and Sachin Idgunji University of Illinois at Urbana Champaign and Nvidia Corporation http://iacoma.cs.uiuc.edu

More information

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology Chapter 2 Three-Dimensional Integration: A More Than Moore Technology Abstract Three-dimensional integrated circuits (3D-ICs), which contain multiple layers of active devices, have the potential to dramatically

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective Moongon Jung, Taigon Song, Yang Wan, Yarui Peng, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France Designing 3D Tree-based FPGA TSV Count Minimization V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France 13 avril 2013 Presentation Outlook Introduction : 3D Tree-based FPGA

More information

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout A Study of Through-Silicon-Via Impact on the Stacked IC Layout Dae Hyun Kim, Krit Athikulwongse, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta,

More information

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015 940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015 Evaluating Chip-Level Impact of Cu/Low-κ Performance Degradation on Circuit Performance at Future Technology Nodes Ahmet Ceyhan, Member,

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Design and Analysis of 3D IC-Based Low Power Stereo Matching Processors

Design and Analysis of 3D IC-Based Low Power Stereo Matching Processors Design and Analysis of 3D IC-Based Low Power Stereo Matching Processors Seung-Ho Ok 1, Kyeong-ryeol Bae 1, Sung Kyu Lim 2, and Byungin Moon 1 1 School of Electronics Engineering, Kyungpook National University,

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias Moongon Jung and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia, USA Email:

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India.

PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India. Volume 117 No. 16 2017, 179-184 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC)

More information

Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011

Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011 Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011 Gamal Refai-Ahmed, Ph.D, AMD Fellow Guy Wagner, Director - Electronic Cooling Solutions William Maltz,

More information

3-Dimensional (3D) ICs: A Survey

3-Dimensional (3D) ICs: A Survey 3-Dimensional (3D) ICs: A Survey Lavanyashree B.J M.Tech, Student VLSI DESIGN AND EMBEDDED SYSTEMS Dayananda Sagar College of engineering, Bangalore. Abstract VLSI circuits are scaled to meet improved

More information

January 25, 2005 Bill Gervasi Senior Technologist Netlist, Incorporated

January 25, 2005 Bill Gervasi Senior Technologist Netlist, Incorporated 1 Embedded Passives in Memory Modules January 25, 2005 Bill Gervasi Senior Technologist Netlist, Incorporated bgervasi@netlistinc.com 2 Netlist Manufacturer of very high density memory modules Based on

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

MOORE s law historically enables designs with higher

MOORE s law historically enables designs with higher 634 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 4, JULY 2018 Interdie Coupling Extraction and Physical Design Optimization for Face-to-Face 3-D ICs Yarui Peng, Member, IEEE, Dusan Petranovic, Member,

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor Taeho Kgil, Shaun D Souza, Ali Saidi, Nathan Binkert, Ronald Dreslinski, Steve Reinhardt, Krisztian Flautner,

More information

Power-Supply-Network Design in 3D Integrated Systems

Power-Supply-Network Design in 3D Integrated Systems Power-Supply-Network Design in 3D Integrated Systems Michael B. Healy and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology 777 Atlantic Dr. NW, Atlanta, GA 3332

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

3D Technologies For Low Power Integrated Circuits

3D Technologies For Low Power Integrated Circuits 3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

LTCC (Low Temperature Co-fired Ceramic)

LTCC (Low Temperature Co-fired Ceramic) LTCC (Low Temperature Co-fired Ceramic) Design Guide Line. 381, Wonchun-Dong, Paldal-Ku, Suwon City, Kyung Ki-Do, Republic of Korea Tel : 82-31-217-2500 (Ext. 470) Fax : 82-31-217-7316 Homepage : http://www.pilkorcnd.co.kr

More information

PHYSICAL DESIGN METHODOLOGIES FOR MONOLITHIC 3D ICS

PHYSICAL DESIGN METHODOLOGIES FOR MONOLITHIC 3D ICS PHYSICAL DESIGN METHODOLOGIES FOR MONOLITHIC 3D ICS A Dissertation Presented to The Academic Faculty by Shreepad Amar Panth In Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy

More information

DEI1600 SURGE BLOCKING MODULE (SBM)

DEI1600 SURGE BLOCKING MODULE (SBM) Device Engineering Incorporated 385 East Alamo Drive Chandler, AZ 855 Phone: (480) 303-08 Fax: (480) 303-084 E-mail: admin@deiaz.com DEI1600 SURGE BLOCKING MODULE (SBM) FEATURES 1600V bidirectional surge

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera Thermal Management of Mobile Electronics: A Case Study in Densification Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi Tessera MEPTEC Thermal Symposium: The Heat is On February 2007 Outline Trends in mobile

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

AS TECHNOLOGY scaling approaches its limits, 3-D

AS TECHNOLOGY scaling approaches its limits, 3-D 1716 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 Shrunk-2-D: A Physical Design Methodology to Build Commercial-Quality Monolithic 3-D ICs

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI-EPFL July 26, 2010 SLIP 2010, Anaheim, USA Presentation Outline 2-D and 3-D Clock Distribution

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Standard Cell Design and Optimization Methodology for ASAP7 PDK

Standard Cell Design and Optimization Methodology for ASAP7 PDK ICCAD 2017 Tutorial Standard Cell Design and Optimization Methodology for ASAP7 PDK Xiaoqing Xu, Nishi Shah, Andrew Evans, Saurabh Sinha, Brian Cline and Greg Yeric Arm Inc xiaoqing.xu@arm.com 10/15/2017

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Temperature-Aware Routing in 3D ICs

Temperature-Aware Routing in 3D ICs Temperature-Aware Routing in 3D ICs Tianpei Zhang, Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota 1 Outline Temperature-aware 3D global routing

More information

Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC

Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC Moongon Jung 1, Xi Liu 2, Suresh K. Sitaraman 2, David Z. Pan 3, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Update: Lambda project

Update: Lambda project Update: Lambda project Sabine Lange Detector Group DESY meeting, May 29-31, 2012 s1 Lambda project About Lambda: 2 x 6 3 chips (~28 x 85mm) high frame rate (8 read out lines, 2kHz readout) 10 gigabit Ethernet

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Bus-Aware Microarchitectural Floorplanning

Bus-Aware Microarchitectural Floorplanning Bus-Aware Microarchitectural Floorplanning Dae Hyun Kim School of Electrical and Computer Engineering Georgia Institute of Technology daehyun@ece.gatech.edu Sung Kyu Lim School of Electrical and Computer

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Bringing 3D ICs to Aerospace: Needs for Design Tools and Methodologies

Bringing 3D ICs to Aerospace: Needs for Design Tools and Methodologies J. lnf. Commun. Converg. Eng. 15(2): 117-122, Jun. 2017 Regular paper Bringing 3D ICs to Aerospace: Needs for Design Tools and Methodologies Sung Kyu Lim, Member, KIICE School of Electrical and Computer

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8

MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8 The Future of Analog IC Technology MP5013A 5 V, 5 A Programmable Current-Limit Switch with Over-Voltage Clamp and Slew-Rate Control in TSOT23-8 DESCRIPTION The MP5013A is a protection device designed to

More information

Physical Co-Design for Micro-Fluidically Cooled 3D ICs

Physical Co-Design for Micro-Fluidically Cooled 3D ICs Physical Co-Design for Micro-Fluidically Cooled 3D ICs Zhiyuan Yang, Ankur Srivastava Department of Electrical and Computer Engineering University of Maryland, College Park, Maryland, 20742 Email: {zyyang,

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Thermal-Aware 3D IC Placement Via Transformation

Thermal-Aware 3D IC Placement Via Transformation Thermal-Aware 3D IC Placement Via Transformation Jason Cong, Guojie Luo, Jie Wei and Yan Zhang Department of Computer Science University of California, Los Angeles Los Angeles, CA 90095 Email: { cong,

More information

Test-TSV Estimation During 3D-IC Partitioning

Test-TSV Estimation During 3D-IC Partitioning Test-TSV Estimation During 3D-IC Partitioning Shreepad Panth 1, Kambiz Samadi 2, and Sung Kyu Lim 1 1 Dept. of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 2

More information

The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE

The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D ICS) A Thesis in Computer Science and Engineering

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information