Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Size: px
Start display at page:

Download "Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar"

Transcription

1 Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1

2 Outline Motivation A new CAD solution to 3D power grid optimization Experimental results Summary 2

3 3D IC Design Detailed view Generalized view Interlayer Via Layer 5 Inter-layer bonds Layer 4 Layer 3 Layer 2 Metal level of wafer 1 Layer 1 Device level 1 Bulk Substrate SOI wafers with bulk substrate removed 1m Bulk wafer 10m 500m Adapted from [Das et al., ISVLSI, 2003] by B. Goplen 3

4 3D Integration: Driving forces Improved global interconnect performance Reduce footprint / improve packing density al. 1998] [Al-Sarawi et Mixed-signal integration 4

5 Power Supply Integrity in 3D Higher current density, faster current transients worsen supply noise Greater challenge in 3D due to via resistance, limited number of supply pins Pins 2D 3D per Power Pin (m ma) Current Current The Trend per of Current power per pin Power (2D) Pin from ITRS Power bottleneck: a major problem for 3D Year 3D Circuit [IBM] 5

6 Traditional power delivery Requirements V dd, GND signals should be at correct levels (low V drop) Electromigration constraints Current density must never exceed a specification For each wire, I i /w i < J spec di/dt constraints Need to manage di/dt to reduce inductive effects Techniques for meeting constraints Widening wires Using appropriate topologies Adding decoupling capacitances Already challenged for 2D technologies Reliable power delivery hard Decaps get leaky New CAD approaches necessary 6

7 Decoupling capacitances (decaps) The most powerful method to reduce transient noise Conventional decap technology: CMOS decap New considerations for CMOS decaps in 3D Compete for area on device layer with landing pads of 3D vias May increase footprint size Get more leaky, due to T-leakage feedback Block CMOS decap Any other option? 7

8 MIM decaps rts 2005] [Rober Decap *Capacitance *Leakage density Congestion density (ff/µm 2 ) (A/cm 2 ) CMOS e-4 - MIM e-8 routing blockage * Numbers deduced from Roberts et al., IEDM05 and PTM simulations 8

9 Our Contributions Apply newer decap technology - MIM decap Develop CAD solutions for inserting both MIM and CMOS decaps: Sequence of linear progamming based problem formulation Linearized noise model based on adjoint sensitivity analysis 3D congestion analysis and linear congestion model 9

10 Overall Algorithm Flow Initial setup 3D layout info. Build 3D power grid Technology parameters Transient power grid analysis Optimization i loop Noise metric S 0? NO Stop YES LP based allocation of CMOS and MIM decaps 10

11 Power network modeling and analysis Power Network Modeling + Modified Nodal Analysis G x(t) + C x (t) = b(t) - x(t): time varying vector of voltages and currents - b(t): () time varying vector of independent current sources Adjoint Sensitivity Analysis - Based on Tellegen s theorem: the instantaneous power in any circuit is zero - An approach to calculate l the sensitivity i i of one objective function w.r.t all the parameters in the circuit 11

12 Power Noise Metric S Noise: optimize the integral of noise violation over time s(j) V j + 0 t Waveform of node j on VDD grid te S( j) 1 ( vth v j (, p)) dt ts S S ( j ) j 12

13 Decap optimization: problem formulation Noise metric minimize α S(x k, y k ) + (1-α) P(x k, y k ) subject to 0 x k C 0 y C k CMOS k MIM Congestion in grid k 1 -x k : CMOS decap added to grid k -y k : MIM decap added to grid k k Leakage power Decap resource constraint Congestion constraint Grid k Nonlinear optimization problem! 13

14 Sequence of linear programs: formulation Objective min α S + (1-α) P S = k (a k x k +b k y k ) = change of violation area S P = k (c k x k +d k y k ) = change in leakage x k : Newly added CMOS decap to grid k y k : Newly added MIM decap to grid k Constraints Congestion constraint Cong Cong k Decap resource constraint k 0x min{, C } k CMOS CMOS k 0y min{, C } k MIM MIM k Grid k 14

15 Congestion Analysis and Linear Model 3D congestion analysis - Extension of Estimation routing congestion using probabilistic analysis, [Lou, et al. TCAD 02]. F( p, q, r) F( p 1, q, r) F( p, q 1, r) F( p, q, r 1) F( p,1,1) F(1, q,1) F(1,1, r) 1 Linear congestion model W k i k k k i R k, i k Cap k, Cong ( ) y ir k ( y ) - y i : the small MIM decap added to grid i i i Grid Gidkk - w k,i : the # of routes moved out of grid i to grid k caused by y i - Cap i : the capacity of grid k [Details in the paper] R k Grid i 15

16 90nm technology node 6 metal layers for each 2D tier Supply voltage: 1.2 V Experimental Setup Voltage drop threshold: 0.12 V (10%) 3D Benchmarks Ckt # Nodes Worst V droop (V) # nodes with noise violations Violation Area S (V ns) Ibm123 18, Ibm05 12, ibm08 17, ibm10 29, ibm18 75,

17 Experimental Results Comparison of three optimization strategies Ckt CMOS only MIM only CMOS + MIM VNs S (V ns) Lkg (ma) Decap (pf) maxc (%) avgc (%) Decap (pf) Lkg (ma) maxc (%) avgc (%) Decap (pf) Ibm Ibm ibm ibm ibm VNs: number of violating nodes - Lkg: leakage current - maxc: maximum increment of congestion - avgc: average increment of congestion CMOS+MIM can achieve a good tradeoff between leakage power and routing congestion 17

18 Experimental results: ibm18 (cont.) Violation Area 5 0 log10 (S) CMOS MIM CMOS+MIM Iteration number Leakage Leakage cur rrent (ma) CMOS CMOS+MIM MIM Iteration number 18

19 Experimental Results (cont.) Optimization results of power grid densities Cases Power #Nodes # nodes Worst V Violation Decap Lkg maxc avgc Time Grid with noise droop Area S (pf) (ma) (%) (%) (s) Density violations (V) (V ns) Case1 Normal Case2 Denser Case3 Densest Lkg: leakage current - maxc: maximum increment of congestion - avgc: average increment of congestion 1. Denser power grid smaller voltage droop 2. Denser power grid increased congestion 19

20 Summary Power delivery into a 3D chip is a critical problem for next-generation designs MIM decap is an efficient option for 3D power grid optimization A LP based decap allocation approach using both MIM and CMOS decaps Our algorithm can also be used to solve the 2D power grid optimization problem 20

21 Thank You! 21

Congestion-Aware Power Grid Optimization for 3D Circuits Using MIM and CMOS Decoupling Capacitors

Congestion-Aware Power Grid Optimization for 3D Circuits Using MIM and CMOS Decoupling Capacitors Congestion-Aware Power Grid Optimization for 3D Circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Department of ECE Department of ECE Department of

More information

Temperature-Aware Routing in 3D ICs

Temperature-Aware Routing in 3D ICs Temperature-Aware Routing in 3D ICs Tianpei Zhang, Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota 1 Outline Temperature-aware 3D global routing

More information

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) Performance Model for Inter-chip Busses Considering Bandwidth and Cost Authors: Brock J. LaMeres, University of Colorado / Sunil P. Khatri

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Ramachandra Achar Carleton University 5170ME, Dept. of Electronics Ottawa, Ont, Canada K1S 5B6 *Email: achar@doe.carleton.ca;

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

IEC (EFT) 40A

IEC (EFT) 40A Features ESD Protect for high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4- (ESD) ±1kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) 40A (/0ns) IEC 61000-4- (Lightning) 1A (8/0µs)

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 2 high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4-2 (ESD) ±15kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) (5/50ns) Level-3, 20A for I/O, 40A for

More information

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design Chao-Hung Lu Department of Electrical Engineering National Central University Taoyuan, Taiwan, R.O.C. Email:

More information

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

AZC099-04S 4 IEC (ESD)

AZC099-04S 4 IEC (ESD) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

INPAQ Global RF/Component Solutions

INPAQ Global RF/Component Solutions TVL SC7 4 AC Specification Product Name Series Part No Package Size Transient Voltage Suppressor TVS Series TVL SC7 4 AC SC7-6L TVL SC7 4 AC Engineering Specification 1. Scope TVL SC7 4 AC s are TVS arrays

More information

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias Moongon Jung and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia, USA Email:

More information

Automated Module Assignment in Stacked-Vdd Designs for High-Efficiency Power Delivery

Automated Module Assignment in Stacked-Vdd Designs for High-Efficiency Power Delivery 1 Automated Module Assignment in Stacked-Vdd Designs for High-Efficiency Power Delivery Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota Abstract

More information

Interconnect Design Techniques for Multicore and 3D Integrated Circuits

Interconnect Design Techniques for Multicore and 3D Integrated Circuits Interconnect Design Techniques for Multicore and 3D Integrated Circuits A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Pingqiang Zhou IN PARTIAL FULFILLMENT

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS Radu Zlatanovici zradu@eecs.berkeley.edu http://www.eecs.berkeley.edu/~zradu Department of Electrical Engineering and Computer Sciences University

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

TVW MSOP 04 AD0 Engineering Specification

TVW MSOP 04 AD0 Engineering Specification TVW MSOP 4 AD 1 Scope TVW MSOP 4 AD s are TVS arrays designed to protect power/control lines and high-speed signal lines from overvoltage hazard of Electrostatic Discharge (ESD), Electrical Fast Transients

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

MAS9278 IC for MHz VCXO

MAS9278 IC for MHz VCXO IC for 10.00 30.00 MHz XO Low Power Wide Supply Voltage Range True Sine Wave Output Very High Level of Integration Integrated Varactor Electrically Trimmable Very Low Phase Noise Low Cost DESCRIPTION The

More information

Power-Supply-Network Design in 3D Integrated Systems

Power-Supply-Network Design in 3D Integrated Systems Power-Supply-Network Design in 3D Integrated Systems Michael B. Healy and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology 777 Atlantic Dr. NW, Atlanta, GA 3332

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

HT8955A Voice Echo. Features. Applications. General Description

HT8955A Voice Echo. Features. Applications. General Description Voice Echo Features Operating voltage: 5.0V Long delay time 0.8 seconds (SEL=VSS, 256K DRAM) 0.2 seconds (SEL=VDD/open, 64K DRAM) 25kHz sampling rate Continuous variable delay time Applications Mixers

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

AIC1520. Ferrite Bead GND. *33µF, 16V Tantalum, or 100µF, 10V Electrolytic Bold line indicate high-current traces. USB High-Side Power Switch

AIC1520. Ferrite Bead GND. *33µF, 16V Tantalum, or 100µF, 10V Electrolytic Bold line indicate high-current traces. USB High-Side Power Switch USB High-Side Power Switch FEATURES 120mΩ (5V Input) High-Side MOSFET Switch. 500mA Continuous Load Current. 80µA Typical On-State Supply Current. Current-Limit / Short Circuit Protection. Thermal Limiting

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor. Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014

Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor. Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014 Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014 Spreadtrum and Product Introduction TD- SCDMA GSM WCDMA FDD-LTE TD-LTE

More information

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC Ordering number : ENN7632 CMOS IC LC75700T Key Scan IC Overview The LC75700T is a key scanning LSI that accepts input from up to 30 keys and can control up to four generalpurpose output ports. Therefore

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout A Study of Through-Silicon-Via Impact on the Stacked IC Layout Dae Hyun Kim, Krit Athikulwongse, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta,

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

A Partition-based Algorithm for Power Grid Design using Locality

A Partition-based Algorithm for Power Grid Design using Locality A Partition-based Algorithm for Power Grid Design using Locality 1 Jaskirat Singh Sachin S. Sapatnekar Department of Electrical & Computer Engineering University of Minnesota Minneapolis, MN 55455 jsingh,sachin

More information

Architecture Evaluation for

Architecture Evaluation for Architecture Evaluation for Power-efficient FPGAs Fei Li*, Deming Chen +, Lei He*, Jason Cong + * EE Department, UCLA + CS Department, UCLA Partially supported by NSF and SRC Outline Introduction Evaluation

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

TS2043 Preliminary CMOS IC

TS2043 Preliminary CMOS IC UNISONIC TECHNOLOGIES CO., LTD TS2043 Preliminary CMOS IC TOUCH PANEL CONTROLLER DESCRIPTION The UTC TS2043 is a highly integrated 12-bit SAR analog-to-digital (A/D) converter designed for touch panel

More information

MOORE s law historically enables designs with higher

MOORE s law historically enables designs with higher 634 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 4, JULY 2018 Interdie Coupling Extraction and Physical Design Optimization for Face-to-Face 3-D ICs Yarui Peng, Member, IEEE, Dusan Petranovic, Member,

More information

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Eight

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

HS3DPG: Hierarchical Simulation for 3D P/G Network Shuai Tao, Xiaoming Chen,Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang

HS3DPG: Hierarchical Simulation for 3D P/G Network Shuai Tao, Xiaoming Chen,Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang HS3DPG: Hierarchical Simulation for 3D P/G Network Shuai Tao, Xiaoming Chen,Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang Presented by Shuai Tao (Tsinghua University, Beijing,China) January 24,

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

ZL40218 Precision 1:8 LVDS Fanout Buffer

ZL40218 Precision 1:8 LVDS Fanout Buffer Precision 1:8 LVDS Fanout Buffer Data Sheet Features Inputs/Outputs Accepts differential or single-ended input LVPECL, LVDS, CML, HCSL, LVCMOS Eight precision LVDS outputs Operating frequency up to 750

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN 200mΩ Power Distribution Switches Features 200mΩ Typ. High-Side MOSFET 0.8A Current Limit (V IN =3.0V) Wide Input Voltage Range: 2V ~ 5.5V Soft Start Thermal Protection Small SOT-23-5 Package Minimizes

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

DS WIRE INTERFACE 11 DECOUPLING CAP GND

DS WIRE INTERFACE 11 DECOUPLING CAP GND Rev ; 4/3 Hex Nonvolatile Potentiometer with General Description The contains six 256-position nonvolatile (NV) potentiometers, 64 bytes of NV user EEPROM memory, and four programmable NV I/O pins. The

More information

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1]

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1] Area Array Probe Card Interposer Raphael Robertazzi IBM Research 6/4/01 6/4/01 IBM RESEARCH Page [1] Motivation: Outline Probe Cards for Testing Complex ICs in the Developmental Stage. Hand Wired Space

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

DEI5090 SINGLE-RAIL ARINC 429 LINE DRIVER

DEI5090 SINGLE-RAIL ARINC 429 LINE DRIVER Device Engineering Incorporated 385 East Alamo Drive Chandler, AZ 85225 Phone: (480) 303-0822 Fax: (480) 303-0824 E-mail: admin@deiaz.com DEI5090 SINGLE-RAIL ARINC 429 LINE DRIER FEATURES Operates from

More information

PAM8304-EV board User Guide AE Department. Date Revision Description Comment

PAM8304-EV board User Guide AE Department. Date Revision Description Comment 1. Revision Information -EV board User Guide AE Department Date Revision Description Comment 2013/5/31 V1.0 Initial release 1 of 5 2. Key Features Supply Voltage from 2.8V to 6.0V 3.0W@10% THD Output with

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Ordering number : ENN6370A CMOS IC LC75808E, 75808W 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Overview The LC75808E and LC75808W are 1/8 to 1/10 duty LCD display drivers that can directly

More information

Maximum Power Dissipation 0.67W Operating Temperature Range 40 C to 85 C Storage Temperature Range 55 C to 150 C

Maximum Power Dissipation 0.67W Operating Temperature Range 40 C to 85 C Storage Temperature Range 55 C to 150 C Description The ACE345E is a load switch which provides full protection to systems and loads which may encounter large current conditions. ACE345E offers a 95mΩ current-limited switch which can operate

More information

SEMICONDUCTOR industry is beginning to question the

SEMICONDUCTOR industry is beginning to question the 644 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 3, SEPTEMBER 2006 Placement and Routing for 3-D System-On-Package Designs Jacob Rajkumar Minz, Student Member, IEEE, Eric Wong,

More information

Low-Capacitance, 2/3/4/6-Channel, ±15kV ESD Protection Arrays for High-Speed Data Interfaces

Low-Capacitance, 2/3/4/6-Channel, ±15kV ESD Protection Arrays for High-Speed Data Interfaces 9-739; Rev 5; 6/ General Description The are low-capacitance ±5kV ESD-protection diode arrays designed to protect sensitive electronics attached to communication lines. Each channel consists of a pair

More information

Simulation Using IBIS Models and Pin Mapping Issues

Simulation Using IBIS Models and Pin Mapping Issues Power/Gnd Simulation Using IBIS Models and Pin Mapping Issues Raj Raghuram Sigrity, Inc. IBIS Summit Meeting, Sep. 13, 2001 2/14/96 Outline Motivation automated Power/Gnd simulation Example of Power/Gnd

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Decoupling Capacitor Planning and Sizing For Noise and Leakage Reduction*

Decoupling Capacitor Planning and Sizing For Noise and Leakage Reduction* Decoupling Capacitor Planning and Sizing For Noise and Leakage Reduction* Eric Wong, Jacob Minz, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta,

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

AIC1526. Dual USB High-Side Power Switch FEATURES DESCRIPTION APPLICATIONS

AIC1526. Dual USB High-Side Power Switch FEATURES DESCRIPTION APPLICATIONS Dual USB High-Side Power Switch FEATURES 110mΩ (5V Input) High-Side MOSFET Switch. 500mA Continuous Load Current per Channel. 110µA Typical On-State Supply Current. 1µA Typical Off-State Supply Current.

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

System Reset IC with delay Monolithic IC PST89XB Series

System Reset IC with delay Monolithic IC PST89XB Series System Reset IC with delay Monolithic IC 89XB Series Outline This IC is a reset IC for turning on/off power supply and power flicker in CPU or logic systems. This IC can change delay time by an external

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

SS1438 Unipolar Hall Switch

SS1438 Unipolar Hall Switch Features and Benefits Wide operating voltage range from 2.5V to 24V Medium sensitivity CMOS technology Chopper-stabilized amplifier stage Superior temperature stability Extremely low switchpoint drift

More information

HL2430/2432/2434/2436

HL2430/2432/2434/2436 Features Digital Unipolar Hall sensor High chopping frequency Supports a wide voltage range - 2.5 to 24V - Operation from unregulated supply Applications Flow meters Valve and solenoid status BLDC motors

More information

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012.

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012. CBC performance with switched capacitor DC-DC converter Mark Raymond, Tracker Upgrade Power Working Group, February 212. 1 CBC power features 2 powering features included on CBC prototype pads for test

More information

specification: imp001

specification: imp001 specification: imp001 version 20140812 http://www.electricimp.com 1. Product description 1.1 General description The imp001 is a complete wireless network node in a card form factor. It works in conjunction

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

DM9051NP Layout Guide

DM9051NP Layout Guide NP Version: 1.1 Technical Reference Manual Davicom Semiconductor, Inc Version: NP-LG-V11 1 1. Placement, Signal and Trace Routing Place the 10/100M magnetic as close as possible to the (no more than 20mm)

More information

Applications +5V V CC V S EN SYNCH0, SYNCV0 SDA0, SCL0 RED SYNCH1, SYNCV1 SDA1, SCL1 MAX14895E BLU GND

Applications +5V V CC V S EN SYNCH0, SYNCV0 SDA0, SCL0 RED SYNCH1, SYNCV1 SDA1, SCL1 MAX14895E BLU GND 19-5819; Rev ; 3/11 E V A L U A T I O N K I T A V A I L A B L E MAX14895E General Description The MAX14895E integrates level-translating buffers and features RED, GRN, and BLU (RGB) port protection for

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information