Thermal Modeling and Active Cooling

Size: px
Start display at page:

Download "Thermal Modeling and Active Cooling"

Transcription

1 Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA)

2 Thermal-Reliability Issues in 3D Chips Latest chips increase power density Non-uniform hot-spots in 2D chips In 3D chips, heat affects several layers! (even more cool components) [Sun, 1.8 GHz Sparc v9 Microproc] Courtesy: [Sun, [IBM and Irvine Sens.] Niagara Broadband Processor] 2

3 Run-Time Heat Spreading in 3D Chips 5-tier 3D stack: 10 heat sources and sensors Layer Inject between 4W 1.5W width (um) length (um) Layer nd Tier width (um) h (um) width th Tier Layer length (um) wid dth (um) Layer length (um) length (um) 3 rd Tier Large and non-uniform th Tier heat propagation! (up to 130º C on top tier) 394

4 NanoTera CMOSAIC Project: Design of 3D MPSoCs with Advanced Cooling 3D systems require novel electro-thermal co-design Academic partners: EPFL and ETHZ Industrial: IBM Zürich 4

5 NanoTera CMOSAIC Project: Design of 3D MPSoCs with Advanced Cooling 3D systems require novel electro-thermal co-design Academic partners: EPFL and ETHZ Industrial: IBM Zürich 3D stacked MPSoC chips: microchannels etched on back side to circulate liquid coolant HW adjustment at run-time of coolant flux SW task execution control System Level Ati Active Cooling Manager (heat flow prediction) 5

6 Compact RC-Based Tier Thermal Model Gate-level thermal model q bj 6 b f 1 fj T f b 0 j q Network of q b_top q b_back Si/metal layer cells q b_left q b_front q q b_right q b_bottom 2D tier modeled as heat flux moving between adjacent cells I-1 I I+1 (q bi ) I (q bi ) I+1 face i Convective boundary conditions between layers in tier q b_top = h top A(T a -T top ) q b_bottom = h bottom A(T a -T bottom ) [Atienza et al., TODAES 2007] 6

7 Complete 3D Chip Thermal Modeling Multi-level execution for thermal convergence in 3D Local (2D-tier) and then global (3D) propagation N iteration ns Evaluate local temperature for the cell Feedback temperature Update with neighbour temperature difusion Tier-lev vel conve ergence Go to next material layer 7

8 3D Thermal Model with Liquid Cooling 3D stack modeled as iterative process Each tier and liquid cooling flow Flexible set of layers in 3D stack, extendible 3D stack (up to 9 tiers) Pre-defined layers: Silicon, metal (10 layers), glue, overmold, interposer, bump 5-Tier validation stack manufactured at EPFL: Liquid Micro-Heater PCB Micro-Channels [Ayala et al., NanoNet 09] 8

9 3D Thermal Model with Liquid Cooling: Correlation with 5-Tier 3D Stack e (mv) Sensor Voltag D Chip, EPFL, Layer 3 characterization ti Blue Curve: 3D current -heat model for D8 Pink curve: Heater current measured in D8 Dev8 D7HD8S Heater Current (ma), applied to Dev 7 Sensor Voltage ( mv) D Chip, EPFL, multi-tier tier characterization Bue/Pink Curve: D7 (tier 1) and D8 (tier 4) Red Curve: 3D current-heat model for D8 Dev6 Dev7 Div6_Iheat Heater Current (ma), applied to Dev 7 9

10 3D Thermal Model with Liquid Cooling: Correlation with 5-Tier 3D Stack e (mv) Sensor Voltag D Chip, EPFL, Layer 3 characterization ti Blue Curve: 3D current -heat model for D8 Pink curve: Heater current measured in D8 Dev8 D7HD8S Heater Current (ma), applied to Dev 7 Variations of less than 1.5% between 3D stack measurements and new 3D thermal model mv) Sensor Voltage ( D Chip, EPFL, multi-tier tier characterization Bue/Pink Curve: D7 (tier 1) and D8 (tier 4) Red Curve: 3D current-heat model for D8 Dev6 Dev7 Div6_Iheat Heater Current (ma), applied to Dev 7 10

11 Run-Time HW/SW Thermal Modeling Framework for 2D/3D Chips Exploitation HW/SW (Atienza et al.,todaes 2007, THERMINIC 09) Zero-delay MPSoC architecture simulation Multi-Proc. OS + DVFS + Task Migration I/O CPU CPU Sw app 1... Sw app N SRAM SRAM SRAM I/O CPU CPU Energy of 2D components MPSoC Behavior Emulation on FPGA Detailed thermal analysis of 2D MPSoC layout standard Ethernet connection & dedicated HW monitor Software Thermal Model cu cu cucu cu si si si si si si si si si Temp. (T) of 2D components Host PC

12 Run-Time HW/SW Thermal Modeling Framework for 2D/3D Chips Exploitation HW/SW (Atienza et al.,todaes 2007, THERMINIC 09) Zero-delay MPSoC architecture simulation Multi-Proc. OS + DVFS + Task Migration I/O CPU CPU Sw app 1... Sw app N SRAM SRAM SRAM I/O CPU CPU Energy of 3D components MPSoC Behavior Emulation on FPGA Detailed thermal analysis of 3D MPSoC layout standard Ethernet connection & dedicated HW monitor Temp. (T) of of 2D 3D components N th Tier 3D Stack Thermal 1 st Tier Model Host PC

13 Thermal Management for 3D Chips: 3D-Floorplans 8-/16-Core Sun Niagara 3D Layouts (2 and 4 tiers) Web and server processing applications Separ-2 Mixed-2 Separ-4 Mixed-4 (EXP1) (EXP2) (EXP3) (EXP4) FEATURE Die thickness (1 stack) SIZE 0.15mm Area/core 10mm 2 Area/L2 cache 19mm 2 Convection capacitance Convection resistance 140 J/K 0.1 K/W Interlayer material 0.02mm thickness Interlayer material 0.25m resistivity K/W [A. Coskun et al., DATE 09] 13

14 Thermal Management for 3D Chips: Adapt3D Results 3D thermal manager with fixed and variable flow rates Proactive task sched., liquid cooling, floorplan-aware DVFS Promising figures for thermal control in 3D-MPSoCs [A. Coskun et al., DATE 09,VLSI-SoC 09] 14

15 Key References and Bibliography Thermal modeling and FPGA-based emulation HW-SW Emulation Framework for Temperature-Aware Design in MPSoCs, David Atienza, et al. ACM Trans. on Design Automation for Embedded Systems (TODAES ), Vol. 12, Nr. 3, pp. 1 26, August Emulation-Based Transient Thermal Modeling of 2D/3D Systems-on-Chip with Active Cooling, David Atienza, Proc. of the 15 th IEEE International Workshop on Thermal Investigations of ICs and Systems (THERMINIC 09), Belgium, October, Thermal management for 3D MPSoCs Dynamic Thermal Management in 3D Multicore Architectures, Ayse K. Coskun, et al., Proc. of Design, Automation and Test in Europe (DATE 09), France, April Modeling and Dynamic Management of 3D Multicore Systems with Liquid Cooling, Ayse K. Coskun, et al., Proc. of 17 th Annual IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 09), Brazil, October Through Silicon Via-Based Grid for Thermal Control in 3D Chips, Jose L. Ayala, et al., Proc. of the 4 th International ICST Conference on Nano-Networks (Nano-Net 09), Switzerland, October 2009.

16 QUESTIONS?

3D MPSoCs with Active Cooling

3D MPSoCs with Active Cooling System-Level Thermal Management of 3D MPSoCs with Active Cooling Prof. David Atienza, Embedded Systems Laboratory (ESL), Ecole Polytechnique Fédérale de Lausanne (EPFL) MPSoC 11, July 4 th 8 th 2011 (Beaune,

More information

-1-

-1- -1- ARTIST Summer School in Europe 2010 Autrans (near Grenoble), France September 5-10, 2010 Thermal-Aware Design of 2D/3D Multi-Processor System-on on-chip Architectures Invited ds Speaker: David idai

More information

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and education use, including for instruction at the authors institution

More information

Efficient Evaluation and Management of Temperature and Reliability for Multiprocessor Systems

Efficient Evaluation and Management of Temperature and Reliability for Multiprocessor Systems Efficient Evaluation and Management of Temperature and Reliability for Multiprocessor Systems Ayse K. Coskun Electrical and Computer Engineering Department Boston University http://people.bu.edu/acoskun

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Automated Transient Thermal Analysis

Automated Transient Thermal Analysis Automated Transient Thermal Analysis with ANSYS Icepak and Simplorer Using EKM Eric Lin Lalit Chaudhari Shantanu Bhide Vamsi Krishna Yaddanapudi 1 Overview Power Map Introduction Need for Chip-co Design

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information

High-performance, low-cost liquid micro-channel cooler

High-performance, low-cost liquid micro-channel cooler High-performance, low-cost liquid micro-channel cooler R.L. Webb Department of Mechanical Engineering, Penn State University, University Park, PA 1680 Keywords: micro-channel cooler, liquid cooling, CPU

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Physical Co-Design for Micro-Fluidically Cooled 3D ICs

Physical Co-Design for Micro-Fluidically Cooled 3D ICs Physical Co-Design for Micro-Fluidically Cooled 3D ICs Zhiyuan Yang, Ankur Srivastava Department of Electrical and Computer Engineering University of Maryland, College Park, Maryland, 20742 Email: {zyyang,

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

Presented at the COMSOL Conference 2010 Paris Multiphysics Simulation of REMS hot-film Anemometer Under Typical Martian Atmosphere Conditions

Presented at the COMSOL Conference 2010 Paris Multiphysics Simulation of REMS hot-film Anemometer Under Typical Martian Atmosphere Conditions Presented at the COMSOL Conference 2010 Paris Multiphysics Simulation of REMS hot-film Anemometer Under Typical Martian Atmosphere Conditions author: Lukasz Kowalski Universitat Politècnica de Catalunya

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Exploring Performance, Power, and Temperature Characteristics of 3D Systems with On-Chip DRAM

Exploring Performance, Power, and Temperature Characteristics of 3D Systems with On-Chip DRAM Exploring Performance, Power, and Temperature Characteristics of 3D Systems with On-Chip DRAM Jie Meng, Daniel Rossell, and Ayse K. Coskun Electrical and Computer Engineering Department, Boston University,

More information

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Aditya Agrawal, Josep Torrellas and Sachin Idgunji University of Illinois at Urbana Champaign and Nvidia Corporation http://iacoma.cs.uiuc.edu

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

3-Dimensional (3D) ICs: A Survey

3-Dimensional (3D) ICs: A Survey 3-Dimensional (3D) ICs: A Survey Lavanyashree B.J M.Tech, Student VLSI DESIGN AND EMBEDDED SYSTEMS Dayananda Sagar College of engineering, Bangalore. Abstract VLSI circuits are scaled to meet improved

More information

Smallest RISC-V Device for Next-Generation Edge Computing

Smallest RISC-V Device for Next-Generation Edge Computing Smallest RISC-V Device for Next-Generation Edge Computing 1 Seiji Munetoh 1, Chitra K Subramanian 2, Arun Paidimarri 2, Yasuteru Kohda 1 IBM Research Tokyo 1 & T.J. Watson Research Center 2 Processor chip

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Power and Thermal Models. for RAMP2

Power and Thermal Models. for RAMP2 Power and Thermal Models for 2 Jose Renau Department of Computer Engineering, University of California Santa Cruz http://masc.cse.ucsc.edu Motivation Performance not the only first order design parameter

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

September imaps 2010 MicroChannel in Liquid Cooling Systems for Advanced Microprocessors

September imaps 2010 MicroChannel in Liquid Cooling Systems for Advanced Microprocessors September 2010 imaps 2010 MicroChannel in Liquid Cooling Systems for Advanced Microprocessors Liquid Cooling with MicroChannel Enhanced Base Plates for the High-End Server Market Micro-Channel construction

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 24

ECE 571 Advanced Microprocessor-Based Design Lecture 24 ECE 571 Advanced Microprocessor-Based Design Lecture 24 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 25 April 2013 Project/HW Reminder Project Presentations. 15-20 minutes.

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Temperature-Aware Routing in 3D ICs

Temperature-Aware Routing in 3D ICs Temperature-Aware Routing in 3D ICs Tianpei Zhang, Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota 1 Outline Temperature-aware 3D global routing

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor Taeho Kgil, Shaun D Souza, Ali Saidi, Nathan Binkert, Ronald Dreslinski, Steve Reinhardt, Krisztian Flautner,

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Concurrency & Parallelism, 10 mi

Concurrency & Parallelism, 10 mi The Beauty and Joy of Computing Lecture #7 Concurrency Instructor : Sean Morris Quest (first exam) in 5 days!! In this room! Concurrency & Parallelism, 10 mi up Intra-computer Today s lecture Multiple

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Fast Computation of Temperature Profiles of VLSI ICs with High Spatial Resolution

Fast Computation of Temperature Profiles of VLSI ICs with High Spatial Resolution Fast Computation of Temperature Profiles of VLSI ICs with High Spatial Resolution Je-Hyoung Park *, Xi Wang, Ali Shakouri, Sung-Mo Kang 2 University of California, Santa Cruz High Street, Santa Cruz, CA

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Contents. 1 CoreTech System Co., Ltd.

Contents. 1 CoreTech System Co., Ltd. Contents Advanced Support for Intelligent Workflow Improved User Interface 2 Expanded Gate Types.. 2 Enhanced Runner Wizard. 2 Customized Cooling Channel Templates. 3 Parameterized Mesh Generator... 3

More information

Alfonso Ortega Villanova University Site Director Associate Vice President Research and Graduate Programs James R. Birle Professor of Energy Technology Villanova University www.villanova.edu/es2 Villanova

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

InterPACKICNMM

InterPACKICNMM Proceedings of the ASME 2015 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems and ASME 2015 12th International Conference on Nanochannels,

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Thermal Design and Management of Servers

Thermal Design and Management of Servers White Paper Thermal Design and Management of Servers Thermal Design and Management of Servers P.1 Overview With the exponential growth of knowledge development, data needs to be stored, processed and secured

More information

FloEFD 16 What s New. Alexey Kharitonovich Product Manager. Tatiana Trebunskikh Product Manager

FloEFD 16 What s New. Alexey Kharitonovich Product Manager. Tatiana Trebunskikh Product Manager FloEFD 16 What s New Alexey Kharitonovich Product Manager Tatiana Trebunskikh Product Manager FloEFD 16 Enhancements Phase Change for Refrigerants Flows of refrigerants with liquid to gas (cavitation/boiling)

More information

Microprocessor Thermal Analysis using the Finite Element Method

Microprocessor Thermal Analysis using the Finite Element Method Microprocessor Thermal Analysis using the Finite Element Method Bhavya Daya Massachusetts Institute of Technology Abstract The microelectronics industry is pursuing many options to sustain the performance

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer

AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer Bernd Schröder Fraunhofer IZM, Berlin Munich October 24-25, 2017 Agenda 1 Fraunhofer Institute for Reliability and Microintegration IZM

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Chapter 1. EE380, Fall Hank Dietz.

Chapter 1. EE380, Fall Hank Dietz. Chapter 1 EE380, Fall 2012 Hank Dietz http://aggregate.org/hankd/ Course Overview Instruction Set Design, by Architect Machine & Assembly Languages Computer Architecture Instruction Set Architecture/Processor

More information

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 OSCILLOSCOPE Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10,

More information

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris

More information

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 1 2015 ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 Printed Circuit Board Reliability Real world operating conditions = Multiphysics environment Electrical Reliability Power and Signal Integrity

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology Shreepad Panth 1, Kambiz Samadi 2, Yang Du 2, and Sung Kyu Lim 1 1 Dept. of Electrical and Computer Engineering, Georgia

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Parallel Computing. Parallel Computing. Hwansoo Han

Parallel Computing. Parallel Computing. Hwansoo Han Parallel Computing Parallel Computing Hwansoo Han What is Parallel Computing? Software with multiple threads Parallel vs. concurrent Parallel computing executes multiple threads at the same time on multiple

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Power-Supply-Network Design in 3D Integrated Systems

Power-Supply-Network Design in 3D Integrated Systems Power-Supply-Network Design in 3D Integrated Systems Michael B. Healy and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology 777 Atlantic Dr. NW, Atlanta, GA 3332

More information

A Simple Model for Estimating Power Consumption of a Multicore Server System

A Simple Model for Estimating Power Consumption of a Multicore Server System , pp.153-160 http://dx.doi.org/10.14257/ijmue.2014.9.2.15 A Simple Model for Estimating Power Consumption of a Multicore Server System Minjoong Kim, Yoondeok Ju, Jinseok Chae and Moonju Park School of

More information

Elettronica T moduli I e II

Elettronica T moduli I e II Elettronica T moduli I e II Docenti: Massimo Lanzoni, Igor Loi Massimo.lanzoni@unibo.it igor.loi@unibo.it A.A. 2015/2016 Scheduling MOD 1 (Prof. Loi) Weeks 39,40,41,42, 43,44» MOS transistors» Digital

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Thermal Modeling of Small Form Factor

Thermal Modeling of Small Form Factor Thermal Modeling of Small Form Factor Pluggable Devices: Different Approaches Most of the industrial Routers and Switches today use optical transceivers for transmitting and receiving data over fiber-optic

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

The Beauty and Joy of Computing

The Beauty and Joy of Computing The Beauty and Joy of Computing Lecture #8 : Concurrency UC Berkeley Teaching Assistant Yaniv Rabbit Assaf Friendship Paradox On average, your friends are more popular than you. The average Facebook user

More information

The Art of Parallel Processing

The Art of Parallel Processing The Art of Parallel Processing Ahmad Siavashi April 2017 The Software Crisis As long as there were no machines, programming was no problem at all; when we had a few weak computers, programming became a

More information

Power: What s the problem?

Power: What s the problem? Power: What s the problem? Industry trends and solutions in low power design Steve Carlson, Low Power Solutions Systems Verification Group April 2015 Agenda Industry Trends Power: what s the problem The

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Platform for System LSI Development

Platform for System LSI Development Platform for System LSI Development Hitachi Review Vol. 50 (2001), No. 2 45 SOCplanner : Reducing Time and Cost in Developing Systems Tsuyoshi Shimizu Yoshio Okamura Yoshimune Hagiwara Akihisa Uchida OVERVIEW:

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information