Chapter 4: Combinational Logic

Size: px
Start display at page:

Download "Chapter 4: Combinational Logic"

Transcription

1 Chapter 4: Combinational Logic Combinational Circuit Design Analysis Procedure (Find out nature of O/P) Boolean Expression Approach Truth Table Approach Design Procedure Example : BCD to Excess-3 code convertor Example 2: even egment Decoder tandard and Canonical Terms BCD to Excess-3 Code Convertor even egment Decoder Binary Adder Binary Adder ( 4-bits) with carry propagation Cascading Multiple Adders Reducing Carry Propagation Delay (Parallel Adder, Carry Look Ahead Adder) Binary Coded Decimal (BCD) Adder Eastern Mediterranean University / 65

2 BCD Adder (Using two binary Adder) Err x 3 x 2 x x y 3 y 2 y y A 3 A 2 A A B 3 B 2 B B C y Binary Adder C i 3 2 BCD Operands: X= (x3 x2 x x) = (y3 y2 y y) um = 3,2,, X + x 3 x 2 x x y 3 y 2 y y um Cy 3 2 Required BCD Output Value 9 + = 9 = = = = 5 = = 8 = 24 A 3 A 2 A A B 3 B 2 B B C y Binary Adder C i 3 2 C y 3 2 Question : Design a magnitude comparator to compare two variables A and B.Assume that the input numbers A and B are of two bits each. Generate three output as A>B, A=B, A<B Eastern Mediterranean University / 65

3 Magnitude Comparator Compare 2-bit number to 2-bit number A A B B Magnitude Comparator A<B A=B A>B Generate Truth Table for all possible combination of AA and BB. Get K map for all three output. Design hardware. Eastern Mediterranean University 2 / 65

4 Magnitude Comparator Compare 2-bit number to 2-bit number A A B B A B A>B A=B A<B A A B B Magnitude Comparator A<B A=B A>B Eastern Mediterranean University 3 / 65

5 Decoders. Concept and pecification 2. Application 3. Designing 2x4 Decoders 4. Decoders with Enable input 5. Designing 3x8 Decoders 6. Expanding (3x8 using 2x4 decoders) 7. Active High / Active Low output 8. Implementation using Decoders Eastern Mediterranean University 4 / 65

6 Concept and Application Binary Decoder. It is capable to identify combination of input bits and generate unique output, say for, For two bit input it will generate 4 bit out put 3. pecification is nxm, means it is n input bits and m output bits. 4. Example specification are 2x4, 3x8 etc. y 3 y 2 y y Eastern Mediterranean University 5 / 65

7 Decoders (Concepts and Applications) Extract Information from the code Binary Decoder (2x4) Example: 2-bit Binary Number Only one lamp will turn on x x Binary Decoder Eastern Mediterranean University 6 / 65

8 Binary Decoder Decoders (2x4 Truth Table and hardware ) 2-to-4 Line Decoder y 3 y 2 y y 3 I I 2 I I I I I I Eastern Mediterranean University 7 / 65

9 Decoders (With Enable Input) Binary Decoder Binary Decoder y 3 y y y E x x E E Eastern Mediterranean University 8 / 65

10 Decoders Binary Decoder 3-to-8 Line Decoder (Give Hardware Diagram) 7 I 2 I I 6 I I 2 I I I I I I I I 2 I I 2 I I 2 I 2 I I I 2 I 2 I I Eastern Mediterranean University 9 / 65

11 MI Circuit Design cale of Integration (I,MI,LI,VLI,oC.) Decoders: (Cascading Decoders, olving Boolean Expressions using Decoders) Encoders (Concept, Design, Cascading, olving Expressions using Encoders) Octal Encoder, Priority Encoder, Interfacing Application) Multiplexers (Concept, Design, Cascading, olving Expression usinf MUX) Eastern Mediterranean University / 65

12 cale of Integration I: mall-scale Integration : Gates< Ml: Medium-scale Integration : <Gates< Ll: Large-scale Integration : Gates> VLI: Very Large-scale Integration : Gates> oc: ystems on Chip :Million Gate, oftware & Hardware. Eastern Mediterranean University / 65

13 MI (Medium cale Integration) Example MI (Medium cale Integration) Decoders Encoders Multiplexers De-multiplexers PLA PAL etc. Eastern Mediterranean University 2 / 65

14 Decoders (3x8 decoders using 2x4 decoders) Binary Decoder Binary Decoder Expansion E E Eastern Mediterranean University 3 / 65

15 Decoders Binary Decoder Binary Decoder Active-High / Active-Low output (Give H/W) Eastern Mediterranean University 4 / 65

16 Implementation Using Decoders I2 I I I2 I I 2 I I I I I I et of minterms available at o/p of decoder 4 I2 I I 5 I2 I I 6 I2 I I 7 I I I Each output is a minterm All minterms are produced um the required minterms 2 x y z Binary Decoder Eastern Mediterranean University 5 / 65

17 Implementation Using Decoders What is the meaning of implementation using MI Implement OP f (a, b, c) = m2, m5, m6, m7 Binary Decoder x y z I I I I I I 2 5 I I I 2 4 I I I 2 3 I I I 2 2 I I I I2 I I I I I 2 Eastern Mediterranean University 6 / 65

18 Use Decoder IC to Implementation Full Adder (x, y, z) = (, 2, 4, 7) I I I 2 I I I 2 2 x y z C C(x, y, z) = (3, 5, 6, 7) 3 I I I 2 5 I I I 2 4 I I I 2 6 I I I 2 7 I I I x y z Eastern Mediterranean University 2 7 I I I 2 Binary Decoder C 7 / 6

19 Implementation Active Low Active High How do we go for Active Low and Active High output.? As we know OR gate = Negative NAND Gate Binary Decoder Put ve NAND gate on behalf of OR gate x y z Eastern Mediterranean University 8 / 65 C

20 Implementation Active Low Active High Binary Decoder Binary Decoder x y z x y z C C Eastern Mediterranean University 9 / 65

21 Encoders Example MI (Medium cale Integration) Decoders Encoders Multiplexers Demultiplexers PLA PAL etc. Eastern Mediterranean University 2 / 65

22 Encoders Put Information into code Binary Encoder Example: 4-to-2 Binary Encoder Only one switch should be activated at a time x x 2 x 3 Binary Encoder y y x 3 x 2 x y y Eastern Mediterranean University 2 / 65

23 Encoders Binary Encoder Design Octal-to-Binary Encoder (8-to-3) I 7 I 6 I 5 I 4 I 3 I 7 I 6 I 5 I 4 I 3 Eastern Mediterranean University 22 / 65

24 Binary Encoder Design Equation for Octal to Binary Encoder I 7 I 6 I 5 I 4 I 3 I 7 I 6 I 5 I 4 I 3 2 I I I I I I I I I I I I 4 2 I 7 I 6 I 5 I 4 I 3 Eastern Mediterranean University 23 / 65

25 Digital ystems. Designing Priority Encoder Multiplexers. Concept and Block Diagram and pecification 2. Designing 2x, 4x MUX 3. Designing Quad 2x MUX 4. MUX with Enable input 5. Implement Boolean function using MUX f(x,y)=σ m(,,3) using 4x MUX f(x,y,z)=σ m(,2,6,7) using 8x MUX f(x,y,z)=σ m(,2,6,7) using 4x MUX f(a,b,c,d)=σm(,3,4,,2,3,4,5) using 8x MUX 6. Realizing larger size MUX using small size MUX 24 / 65

26 Priority Encoder Design a Priority Encoders for 4 bit input I3 I2 I I V Four I/P I3,I2,I,I I3 Highest Priority (Assumed) I Lowest Priority (Assumed) I3 I2 I I I 3 V 25 / 65

27 Priority Encoders of 4 bit input I3 I2 I I V I 3 V I I I I I I I I I I 3 V Eastern Mediterranean University 26 / 65

28 MULTIPLEXER Circuit (MUX) Eastern Mediterranean University 27 / 65

29 MULTIPLEXER Circuit (MUX) Concepts and Block Diagram:. MUX is used to transfer large number of data over small unit. 2. It is a combinational circuit that select one input, out of many and directs into single output, hence called as Many into One. 3. election of one input line is controlled by set of selection input, also called as control input. 4. For N input lines we need log 2N selection lines. Eastern Mediterranean University 28 / 65

30 Multiplexers 4x Concepts and Block Diagram: Block Diagram Truth Table MUX I 3 I 3 MUX pecification pecification of MUX is Nx, that means Examples are 4x,8x.etc N: Number of Input Bits : Out put bit Eastern Mediterranean University 29 / 65

31 Design 2x Multiplexers Block Diagram MUX Truth Table Give Circuit Diagram Eastern Mediterranean University 3 / 65

32 Design 4x Multiplexers Truth Table Give Circuit Diagram I 3 Block Diagram I 3 MUX I 3

33 Design Quad 2-to- MUX What is Quad 2-to- MUX..? We have two input numbers A and B, of 4 bits each. If we want to fetch all 4 bits of A i.e. a3,a2,a,a at one time and all 4 bits of B i.e. b3,b2,b,b at another time, then we need quad 2x MUX It is done via selection input. Define value of = means all bits of A i.e. a3,a2,a,a will pass to the output = All bits of B i.e. b3,b2,b,b will pass to the output. Find out the number of output bits.? Block Diagram Input bits are four Output bits are four a3,a2,a,a b3,b2,b,b 3,2,, A 3 A 2 A A B 3 B 2 B B MUX

34 Design Quad 2-to- MUX Variable Planning (i.e. Input Output) Give Truth Table a 3 b 3 3, 2,, a 2 a b 2 b A 3 A 2 A A B 3 B 2 B B MUX a3 a2 a a b3 b2 b b a b Question : Can we design above MUX using 4 number of 2x MUX..?

35 Design Quad 2-to- MUX using 4 no of 2x MUX a 3 a 2 a a b 3 b 2 b b MUX3 MUX2 MUX MUX A 3 A 2 A A B 3 B 2 B B MUX MUX Give complete Circuit diagram of above design..

36 Design Quad 2-to- MUX a 3 b 3 MUX3 A 3 A 2 A a 2 b 2 MUX2 A B 3 a a b b MUX MUX A 3 A 2 A A B 3 B 2 B B MUX E B 2 B B E Give complete Circuit diagram of above circuit diagram..

37 Design Quad 2-to- MUX (Identify 2x MUX) a 3 b 3 MUX3 A 3 I,3 a 2 b 2 MUX2 A 2 A A I,2 I, I, a b MUX B 3 B 2 B I,3 I,2 I, a b MUX A 3 A 2 A A B 3 B 2 B B MUX E B E I,

38 Multiplexers with Enable input If E is defined at logic HIGH then E= will generate all output bits. If E is defined at logic LOW then E= will generate all output bits. Circuit Diagram Block Diagram A 3 A 2 A A B 3 B 2 B B Extra Buffers A 3 A 2 A A B 3 B 2 B B MUX E E 37 / 65

39 Multiplexers with Enable input If E is defined at logic HIGH then E= will generate all output bits. If E is defined at logic LOW then E= will generate all output bits. A 3 A 2 A A B 3 B 2 B B A 3 A 2 A A B 3 B 2 B B MUX E E If = and E = what is the output? =A or =B If = and E = what is the output..? =A or =B If E = what is the output..? 38 / 65

40 Implementation Using Multiplexers Question : Design Combinational circuit using 4x MUX. Boolean exp of 2 variable and MUX of 2 pow 2 = 4 input, so the design in simple. Realize following function using MUX F(x, y) = (,, 3) using 4x MUX Mean term means x y Mean term means x y Mean term 2 means x y Mean term 3 means x y If we connect with y literal with x literal I 3 This would lead to I = x y I = x y I2 = x y I3 = x y MUX I 3 Eastern Mediterranean University 39 / 65

41 Implementation Using Multiplexers Question : Design Combinational circuit using 4x MUX. Boolean exp of 2 variable and MUX of 2 pow 2 = 4 input, so the design in simple. x y F F(x, y) = (,, 3) using 4x MUX Block Diagram MUX I 3 x y F Eastern Mediterranean University 4 / 65

42 Implementation Using Multiplexers Question 2: Implement following Boolean expression using 8x MUX F(x, y, z) = (, 2, 6, 7) using 8x MUX Expression of three variable and number of selection input of 8x MUX is calculated as log 2 8 = 3 input, so the design is simple. x y z F I 3 I MUX 4 I 5 I 6 I 7 2 x y z F Eastern Mediterranean University 4 / 65

43 Implementation Using Multiplexers Question 3: Implement following Boolean function using MUX F(x, y, z) = (, 2, 6, 7), do not use 8x MUX but 4x MUX is available. Function given is of three variable, and 4x MUX is available. Given 4x Mux will have log 2 4 = 2 selection input. Three input variable and two selection input are not simple, hence the design steps will be different MUX I 3 F x y Eastern Mediterranean University 42 / 65

44 Implementation Using Multiplexers Question 3: Implement following Boolean function using MUX F(x, y, z) = (, 2, 6, 7), do not use 8x MUX but 4x MUX is available. x y z F F = z F = z F = F = z z MUX I 3 x y F Eastern Mediterranean University 43 / 65

45 Implementation Using Multiplexers Question 4: Implement following function using 8x MUX F(A, B, C, D) = (, 3, 4,, 2, 3, 4, 5) Given function is of 4 variable, selection input of available 8x MUX is calculated as log 2 8 =3, hence design is not simple. A B C D F F = D F = D F = D F = F = F = D F = F = I 3 I MUX 4 I 5 I 6 I 7 2 A B C D D D D F Eastern Mediterranean University 44 / 65

46 Multiplexer Expansion (Design 8x using dual 4x and single 2x) Block Diagram Truth Table I 3 I 4 I 5 I 6 I 7 8x MUX 2 I I I2 I3 I4 I5 I6 I7 2 Eastern Mediterranean University 45 / 65

47 Multiplexer Expansion (Design 8x using dual 4x and single 2x) I 3 I 4 I 5 I 6 I 7 I MUX I 3 MUX I 3 MUX 2 I I I2 I3 I4 I5 I6 I / 65

48 DeMultiplexers I DeMUX I I Eastern Mediterranean University 47 / 65

49 Multiplexer / DeMultiplexer Pairs MUX DeMUX I 7 I 6 I 5 I 4 I 3 I ynchronize x 2 x x y 2 y y Eastern Mediterranean University 48 / 65

50 Compare DeMultiplexers / Decoders Binary Decoder I DeMUX E I E x x Eastern Mediterranean University 49 / 65

51 Three-tate Gates (Tristate Inventor and Buffer) Tri-tate Buffer A C Tri-tate Inverter A C A C x Hi-Z Eastern Mediterranean University 5 / 65

52 Three-tate Gates A B C C D Hi-Z B A? D A Not Allowed C B = A if C = B if C = Eastern Mediterranean University 5 / 65

53 Three-tate Gates Binary Decoder I 3 E E Eastern Mediterranean University 52 / 65

54 Design Encoder / Decoder Pairs Question : Design a switching circuit to interface eight ON/OFF switch (,,,2 7)to operate 8 LED (,,2 7). Assuming that if switch will operate LED, and switch 7 will operate LED7. Eastern Mediterranean University 53 / 65

55 Encoder / Decoder Pairs Binary Encoder Binary Decoder I 7 I 6 I 5 I 4 I Eastern Mediterranean University 54 / 65

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

UNIT- V COMBINATIONAL LOGIC DESIGN

UNIT- V COMBINATIONAL LOGIC DESIGN UNIT- V COMBINATIONAL LOGIC DESIGN NOTE: This is UNIT-V in JNTUK and UNIT-III and HALF PART OF UNIT-IV in JNTUA SYLLABUS (JNTUK)UNIT-V: Combinational Logic Design: Adders & Subtractors, Ripple Adder, Look

More information

Chapter 4. Combinational Logic

Chapter 4. Combinational Logic Chapter 4. Combinational Logic Tong In Oh 1 4.1 Introduction Combinational logic: Logic gates Output determined from only the present combination of inputs Specified by a set of Boolean functions Sequential

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

UNIT II - COMBINATIONAL LOGIC Part A 2 Marks. 1. Define Combinational circuit A combinational circuit consist of logic gates whose outputs at anytime are determined directly from the present combination

More information

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES This chapter in the book includes: Objectives Study Guide 9.1 Introduction 9.2 Multiplexers 9.3 Three-State Buffers 9.4 Decoders and Encoders

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Combinational circuit consists of an interconnection of logic gates They react to their inputs and produce their outputs by transforming binary information n input binary variables

More information

Combinational Logic with MSI and LSI

Combinational Logic with MSI and LSI 1010101010101010101010101010101010101010101010101010101010101010101010101010101010 1010101010101010101010101010101010101010101010101010101010101010101010101010101010 1010101010101010101010101010101010101010101010101010101010101010101010101010101010

More information

Combinational Logic Circuits

Combinational Logic Circuits Combinational Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has

More information

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method SET - 1 1. a) Convert the decimal number 250.5 to base 3, base 4 b) Write and prove de-morgan laws c) Implement two input EX-OR gate from 2 to 1 multiplexer (3M) d) Write the demerits of PROM (3M) e) What

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Chapter Three. Digital Components

Chapter Three. Digital Components Chapter Three 3.1. Combinational Circuit A combinational circuit is a connected arrangement of logic gates with a set of inputs and outputs. The binary values of the outputs are a function of the binary

More information

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR DLD UNIT III Combinational Circuits (CC), Analysis procedure, Design Procedure, Combinational circuit for different code converters and other problems, Binary Adder- Subtractor, Decimal Adder, Binary Multiplier,

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : STLD(16EC402) Year & Sem: II-B.Tech & I-Sem Course & Branch: B.Tech

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

COMBINATIONAL LOGIC CIRCUITS

COMBINATIONAL LOGIC CIRCUITS COMBINATIONAL LOGIC CIRCUITS 4.1 INTRODUCTION The digital system consists of two types of circuits, namely: (i) Combinational circuits and (ii) Sequential circuits A combinational circuit consists of logic

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-II COMBINATIONAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-II COMBINATIONAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April SET - 1 II B. Tech II Semester, Supplementary Examinations, April - 2012 SWITCHING THEORY AND LOGIC DESIGN (Electronics and Communications Engineering) Time: 3 hours Max Marks: 80 Answer any FIVE Questions

More information

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE 1 Logic circuits for digital systems may be combinational or sequential. A combinational circuit consists of input variables, logic gates, and output

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

Department of Electrical and Computer Engineering University of Wisconsin - Madison. ECE/CS 352 Digital System Fundamentals.

Department of Electrical and Computer Engineering University of Wisconsin - Madison. ECE/CS 352 Digital System Fundamentals. Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/C 352 Digital ystem Fundamentals Quiz #2 Thursday, March 7, 22, 7:15--8:3PM 1. (15 points) (a) (5 points) NAND, NOR

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

Combinational Logic. Prof. Wangrok Oh. Dept. of Information Communications Eng. Chungnam National University. Prof. Wangrok Oh(CNU) 1 / 93

Combinational Logic. Prof. Wangrok Oh. Dept. of Information Communications Eng. Chungnam National University. Prof. Wangrok Oh(CNU) 1 / 93 Combinational Logic Prof. Wangrok Oh Dept. of Information Communications Eng. Chungnam National University Prof. Wangrok Oh(CNU) / 93 Overview Introduction 2 Combinational Circuits 3 Analysis Procedure

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

Objectives: 1. Design procedure. 2. Fundamental circuits. 1. Design procedure

Objectives: 1. Design procedure. 2. Fundamental circuits. 1. Design procedure Objectives: 1. Design procedure. 2. undamental circuits. 1. Design procedure Design procedure has five steps: o Specification. o ormulation. o Optimization. o Technology mapping. o Verification. Specification:

More information

2008 The McGraw-Hill Companies, Inc. All rights reserved.

2008 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. All or Nothing Gate Boolean Expression: A B = Y Truth Table (ee next slide) or AB = Y 28

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library 3.1 Combinational Circuits 2 Chap 3. logic circuits for digital systems: combinational vs sequential Combinational Logic Design Combinational Circuit (Chap 3) outputs are determined by the present applied

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

Lecture 21: Combinational Circuits. Integrated Circuits. Integrated Circuits, cont. Integrated Circuits Combinational Circuits

Lecture 21: Combinational Circuits. Integrated Circuits. Integrated Circuits, cont. Integrated Circuits Combinational Circuits Lecture 21: Combinational Circuits Integrated Circuits Combinational Circuits Multiplexer Demultiplexer Decoder Adders ALU Integrated Circuits Circuits use modules that contain multiple gates packaged

More information

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10). II B. Tech II Semester Regular Examinations, May/June 2015 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, ECE, ECC, EIE.) Time: 3 hours Max. Marks: 70 Note: 1. Question Paper consists of two parts (Part-A

More information

R07

R07 www..com www..com SET - 1 II B. Tech I Semester Supplementary Examinations May 2013 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, EIE, BME, ECC) Time: 3 hours Max. Marks: 80 Answer any FIVE Questions

More information

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS FREQUENTLY ASKED QUESTIONS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES

More information

Lec-6-HW-2-digitalDesign

Lec-6-HW-2-digitalDesign Lec-6-HW-2-digitalDesign Reading: PP-chp 3: 3.3 (decoder, mux, FA, PLA) 3.4 (R-S latch, register) 3.5 (memory) 3.6 (sequential machines, FSM) 3.7 (LC-3 datapath) Problems, PP-chp 3: 3.12 3-Dec, show minterm

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 09 MULTIPLEXERS OBJECTIVES: To experimentally verify the proper operation of a multiplexer.

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Programmable Logic Devices Fig. (1) General structure of PLDs Programmable Logic Device (PLD): is an integrated circuit with internal logic gates and/or connections that can

More information

www.vidyarthiplus.com Question Paper Code : 31298 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2013. Third Semester Computer Science and Engineering CS 2202/CS 34/EC 1206 A/10144 CS 303/080230012--DIGITAL

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Chapter 6 Combinational-Circuit Building Blocks

Chapter 6 Combinational-Circuit Building Blocks Chapter 6 Combinational-Circuit Building Blocks Commonly used combinational building blocks in design of large circuits: Multiplexers Decoders Encoders Comparators Arithmetic circuits Multiplexers A multiplexer

More information

Chap-2 Boolean Algebra

Chap-2 Boolean Algebra Chap-2 Boolean Algebra Contents: My name Outline: My position, contact Basic information theorem and postulate of Boolean Algebra. or project description Boolean Algebra. Canonical and Standard form. Digital

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

Programmable Logic Devices (PLDs)

Programmable Logic Devices (PLDs) Programmable Logic Devices (PLDs) 212: Digital Design I, week 13 PLDs basically store binary information in a volatile/nonvolatile device. Data is specified by designer and physically inserted (Programmed)

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

LECTURE 4. Logic Design

LECTURE 4. Logic Design LECTURE 4 Logic Design LOGIC DESIGN The language of the machine is binary that is, sequences of 1 s and 0 s. But why? At the hardware level, computers are streams of signals. These signals only have two

More information

EXPERIMENT #8: BINARY ARITHMETIC OPERATIONS

EXPERIMENT #8: BINARY ARITHMETIC OPERATIONS EE 2 Lab Manual, EE Department, KFUPM EXPERIMENT #8: BINARY ARITHMETIC OPERATIONS OBJECTIVES: Design and implement a circuit that performs basic binary arithmetic operations such as addition, subtraction,

More information

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY Dept/Sem: II CSE/03 DEPARTMENT OF ECE CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I BOOLEAN ALGEBRA AND LOGIC GATES PART A 1. How many

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

Programmable Logic Devices. Programmable Read Only Memory (PROM) Example

Programmable Logic Devices. Programmable Read Only Memory (PROM) Example Programmable Logic Devices Programmable Logic Devices (PLDs) are the integrated circuits. They contain an array of AND gates & another array of OR gates. There are three kinds of PLDs based on the type

More information

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system?

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system? MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s Unit-1 Number Systems 1. What does a decimal number represents? A. Quality B. Quantity C. Position D. None of the above 2. Why the

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni-625531 Question Bank for the Units I to V SEMESTER BRANCH SUB CODE 3rd Semester B.E. / B.Tech. Electrical and Electronics Engineering

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500043 Course Name : DIGITAL LOGIC DESISN Course Code : AEC020 Class : B Tech III Semester Branch : CSE Academic Year : 2018 2019

More information

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER.

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER. DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER 2015 2016 onwards DIGITAL ELECTRONICS CURRICULUM DEVELOPMENT CENTRE Curriculum Development

More information

DE Solution Set QP Code : 00904

DE Solution Set QP Code : 00904 DE Solution Set QP Code : 00904 1. Attempt any three of the following: 15 a. Define digital signal. (1M) With respect to digital signal explain the terms digits and bits.(2m) Also discuss active high and

More information

Midterm Exam Review. CS 2420 :: Fall 2016 Molly O'Neil

Midterm Exam Review. CS 2420 :: Fall 2016 Molly O'Neil Midterm Exam Review CS 2420 :: Fall 2016 Molly O'Neil Midterm Exam Thursday, October 20 In class, pencil & paper exam Closed book, closed notes, no cell phones or calculators, clean desk 20% of your final

More information

Digital logic fundamentals. Question Bank. Unit I

Digital logic fundamentals. Question Bank. Unit I Digital logic fundamentals Question Bank Subject Name : Digital Logic Fundamentals Subject code: CA102T Staff Name: R.Roseline Unit I 1. What is Number system? 2. Define binary logic. 3. Show how negative

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Name : DIGITAL LOGIC DESISN Code : AEC020 Class : B Tech III Semester

More information

Question Total Possible Test Score Total 100

Question Total Possible Test Score Total 100 Computer Engineering 2210 Final Name 11 problems, 100 points. Closed books, closed notes, no calculators. You would be wise to read all problems before beginning, note point values and difficulty of problems,

More information

10EC33: DIGITAL ELECTRONICS QUESTION BANK

10EC33: DIGITAL ELECTRONICS QUESTION BANK 10EC33: DIGITAL ELECTRONICS Faculty: Dr.Bajarangbali E Examination QuestionS QUESTION BANK 1. Discuss canonical & standard forms of Boolean functions with an example. 2. Convert the following Boolean function

More information

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 3.1 Introduction The various sections

More information

Chapter 4 Design of Function Specific Arithmetic Circuits

Chapter 4 Design of Function Specific Arithmetic Circuits Chapter 4 Design of Function Specific Arithmetic Circuits Contents Chapter 4... 55 4.1 Introduction:... 55 4.1.1 Incrementer/Decrementer Circuit...56 4.1.2 2 s Complement Circuit...56 4.1.3 Priority Encoder

More information

Selection Information FAST/LS TTL FAST AND LS TTL

Selection Information FAST/LS TTL FAST AND LS TTL election Information FT/L TTL 1 FT ND L TTL GENERL INFORMTION TTL in Perspective ince its introduction, TTL has become the most popular form of digital logic. It has evolved from the original gold-doped

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Hybrid Electronics Laboratory

Hybrid Electronics Laboratory Hybrid Electronics Laboratory Design and Simulation of Various Code Converters Aim: To Design and Simulate Binary to Gray, Gray to Binary, BCD to Excess 3, Excess 3 to BCD code converters. Objectives:

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

CS/IT DIGITAL LOGIC DESIGN

CS/IT DIGITAL LOGIC DESIGN CS/IT 214 (CR) Total No. of Questions :09] [Total No. of Pages : 02 II/IV B.Tech. DEGREE EXAMINATIONS, DECEMBER- 2016 First Semester CS/IT DIGITAL LOGIC DESIGN Time: Three Hours 1. a) Flip-Flop Answer

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

QUESTION BANK FOR TEST

QUESTION BANK FOR TEST CSCI 2121 Computer Organization and Assembly Language PRACTICE QUESTION BANK FOR TEST 1 Note: This represents a sample set. Please study all the topics from the lecture notes. Question 1. Multiple Choice

More information

Addition and multiplication

Addition and multiplication Addition and multiplication Arithmetic is the most basic thing you can do with a computer, but it s not as easy as you might expect! These next few lectures focus on addition, subtraction, multiplication

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17320 21718 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Figures to the right indicate full marks. (4) Assume suitable data,

More information

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS) SUBJECT NAME: DIGITAL LOGIC CIRCUITS YEAR / SEM : II / III DEPARTMENT : EEE UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1. What is variable mapping? 2. Name the two canonical forms for Boolean algebra.

More information

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES.

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES. CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES. 1) Simplify the boolean function using tabulation method. F = (0, 1, 2, 8, 10, 11, 14, 15) List all

More information

ECE 2020B Fundamentals of Digital Design Spring problems, 6 pages Exam Two Solutions 26 February 2014

ECE 2020B Fundamentals of Digital Design Spring problems, 6 pages Exam Two Solutions 26 February 2014 Problem 1 (4 parts, 21 points) Encoders and Pass Gates Part A (8 points) Suppose the circuit below has the following input priority: I 1 > I 3 > I 0 > I 2. Complete the truth table by filling in the input

More information

DIGITAL ELECTRONICS. Vayu Education of India

DIGITAL ELECTRONICS. Vayu Education of India DIGITAL ELECTRONICS ARUN RANA Assistant Professor Department of Electronics & Communication Engineering Doon Valley Institute of Engineering & Technology Karnal, Haryana (An ISO 9001:2008 ) Vayu Education

More information

Combinational Logic Use the Boolean Algebra and the minimization techniques to design useful circuits No feedback, no memory Just n inputs, m outputs

Combinational Logic Use the Boolean Algebra and the minimization techniques to design useful circuits No feedback, no memory Just n inputs, m outputs Combinational Logic Use the Boolean Algebra and the minimization techniques to design useful circuits No feedback, no memory Just n inputs, m outputs and an arbitrary truth table Analysis Procedure We

More information

CHAPTER NINE - MSI Logic Circuits

CHAPTER NINE - MSI Logic Circuits CHAPTER NINE - MSI Logic Circuits 9. (a) All of the outputs are HIGH. (b) O =, O- O7 = (c) O - O6 =, O7 =. (d) Same as (a). 9.2 Inputs = 6: Outputs = 64 9.3 (a) [ O6] -> A2=, A=, A=, E3=, E2 =, E= (b)

More information

that system. weighted value associated with it. numbers. a number. the absence of a signal. MECH 1500 Quiz 2 Review Name: Class: Date:

that system. weighted value associated with it. numbers. a number. the absence of a signal. MECH 1500 Quiz 2 Review Name: Class: Date: Name: Class: Date: MECH 1500 Quiz 2 Review True/False Indicate whether the statement is true or false. 1. The decimal system uses the number 9 as its base. 2. All digital computing devices perform operations

More information

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7]

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7] Code No: A109211202 R09 Set No. 2 1. (a) Explain the purpose of the following registers: i. IR ii. PC iii. MDR iv. MAR. (b) Explain with an example the steps in subtraction of two n-digit unsigned numbers.

More information

Get Free notes at Module-I One s Complement: Complement all the bits.i.e. makes all 1s as 0s and all 0s as 1s Two s Complement: One s complement+1 SIGNED BINARY NUMBERS Positive integers (including zero)

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITAL TECHNIC Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 4. LECTURE: COMBINATIONAL LOGIC DEIGN: ARITHMETIC (THROUGH EXAMPLE) 2nd (Autumn) term 28/29 COMBINATIONAL LOGIC

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT 1 BOOLEAN ALGEBRA AND LOGIC GATES Review of binary

More information

ECE 2030D Computer Engineering Spring problems, 5 pages Exam Two 8 March 2012

ECE 2030D Computer Engineering Spring problems, 5 pages Exam Two 8 March 2012 Instructions: This is a closed book, closed note exam. Calculators are not permitted. If you have a question, raise your hand and I will come to you. Please work the exam in pencil and do not separate

More information

IT 201 Digital System Design Module II Notes

IT 201 Digital System Design Module II Notes IT 201 Digital System Design Module II Notes BOOLEAN OPERATIONS AND EXPRESSIONS Variable, complement, and literal are terms used in Boolean algebra. A variable is a symbol used to represent a logical quantity.

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

D I G I T A L C I R C U I T S E E

D I G I T A L C I R C U I T S E E D I G I T A L C I R C U I T S E E Digital Circuits Basic Scope and Introduction This book covers theory solved examples and previous year gate question for following topics: Number system, Boolean algebra,

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SUBJECT: CSE 2.1.6 DIGITAL LOGIC DESIGN CLASS: 2/4 B.Tech., I SEMESTER, A.Y.2017-18 INSTRUCTOR: Sri A.M.K.KANNA

More information

Lecture 6: Signed Numbers & Arithmetic Circuits. BCD (Binary Coded Decimal) Points Addressed in this Lecture

Lecture 6: Signed Numbers & Arithmetic Circuits. BCD (Binary Coded Decimal) Points Addressed in this Lecture Points ddressed in this Lecture Lecture 6: Signed Numbers rithmetic Circuits Professor Peter Cheung Department of EEE, Imperial College London (Floyd 2.5-2.7, 6.1-6.7) (Tocci 6.1-6.11, 9.1-9.2, 9.4) Representing

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic

Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic Question 1: Due October 19 th, 2009 A convenient shorthand for specifying

More information

GATE CSE. GATE CSE Book. November 2016 GATE CSE

GATE CSE. GATE CSE Book. November 2016 GATE CSE GATE CSE GATE CSE Book November 2016 GATE CSE Preface This book is made thanks to the effort of GATE CSE members and Praneeth who made most of the latex notes for GATE CSE. Remaining work of completing

More information