Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research

Size: px
Start display at page:

Download "Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research"

Transcription

1 SCANNING VOL. 24, (2002) Received: October 30, 2001 FAMS, Inc. Accepted with revision: February 15, 2002 Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research MICHAEL T. POSTEK,ANDRÁS E. VLADÁR,JEREMIAH R. LOWNEY,WILLIAM J. KEERY National Institute of Standards and Technology, 1 Gaithersburg, Maryland, USA Summary: Traditional Monte Carlo modeling of the electron beam specimen interactions in a scanning electron microscope (SEM) produces information about electron beam penetration and output signal generation at either a single beam-landing location, or multiple landing positions. If the multiple landings lie on a line, the results can be graphed in a line scan-like format. Monte Carlo results formatted as line scans have proven useful in providing one-dimensional information about the sample (e.g., linewidth). When used this way, this process is called forward line scan modeling. In the present work, the concept of image simulation (or the first step in the inverse modeling of images) is introduced where the forward-modeled line scan data are carried one step further to construct theoretical two-dimensional (2-D) micrographs (i.e., theoretical SEM images) for comparison with similar experimentally obtained micrographs. This provides an ability to mimic and closely match theory and experiment using SEM images. Calculated and/or measured libraries of simulated images can be developed with this technique. The library concept will prove to be very useful in the determination of dimensional and other properties of simple structures, such as integrated circuit parts, where the shape of the features is preferably measured from a single top-down image or a line scan. This paper presents one approach to the generation of 2-D simulated images and presents some suggestions as to their application to critical dimension metrology. Key words: modeling, Monte Carlo, metrology, scanning electron microscope, linewidth, critical dimension PACS: 61.16Bg, Bp, s, n, Fr, Fk 1 Contribution of the National Institute of Standards and Technology, not subject to copyright. Address for reprints: Michael T. Postek Precision Engineering Division Technical A-347 National Institute of Standards and Technology Gaithersburg, MD 20899, USA postek@nist.gov Introduction Electron beam interaction modeling in the scanning electron microscope (SEM) is traditionally done by using Monte Carlo techniques. Monte Carlo models have been used to provide information regarding beam penetration and SEM signal generation at a single-beam landing location, or at multiple-beam landing locations. Early Monte Carlo models for metrology were based on the pioneering work of Drs. David Joy, Dale Newbury, Robert Myklebust, and others (reviewed by Joy 1995). More recently, a series of Monte Carlo computer codes named MONSEL (MONte Carlo for Secondary ELectrons), specifically designed for critical dimension (CD) metrology, have been developed at NIST 2 (Lowney 1996a, Lowney et al. 1994) and are undergoing continual development and improvements. Other electron beam interaction models, such as CASINO exist ( These models have been specifically designed and used for x-ray microanalysis, as well. Modeling with zero-dimension (0-D) is equal to a point analysis; modeling a line-scan signal on a flat sample with regions of different composition is a one-dimensional (1- D) analysis; two-dimensional (2-D) analysis works across a plane, for example, on integrated circuit lines described with their widths, heights, angles, and so forth, on a different material substrate, and the three-dimensional (3-D) analysis deals with a fully 3-D sample and reports 3-D signals. Monte Carlo simulation along a line that agrees with the corresponding experimental line scans is useful in providing dimensional information about the sample, such as the width, wall angle, and even height of the line (Davidson and Vladar 1999). In the case in which multiple beam landing locations are modeled, the signal-generation that results along a line can be graphed to simulate observed secondary or backscattered electron line scans experimentally. Monte Carlo line scans that agree with the corresponding experimental line scans are useful in providing 1-Dimensional information about the sample (e.g., linewidth). When used in this way, this process is called forward line scan modeling. Inverse- 2 Copies of the MONSEL program can be obtained by contacting Dr. Jerry Lowney at jlowney@erols.com.

2 180 Scanning Vol. 24, 4 (2002) modeling of SEM line scans matches a modeled line scan to an experimental line scan and adjusts the input parameters to the Monte Carlo modeling to obtain a good match (Fig. 1). Using the MONSEL model in a patterned silicon target, the location of an edge has been determined to an error below 6 nm from comparisons between computed and experimentally measured backscattered and secondary electron signals in an SEM (Lowney et al. 1995). The MON- SEL series of Monte Carlo computer codes are based on first-principles physics (Lowney 1996a). The code simulates the backscattered, secondary, and transmitted electron signals (where appropriate) from complex targets in the SEM. The calculations in MONSEL are fully 3-D, but only MONSEL III has been designed to deal with the modeling of actual 3-D targets (Lowney 1996b). The 3-D target is a two-by-two array of short lines with trapezoidal faces and ends. They can be of any length or width, but all four are identical. Measurements have been made on a special target composed of a 1 µm step in a silicon substrate in a high-resolution SEM (Postek et al. 1995). By overlaying the measured data with the simulation (which predicts the expected signal for a given target geometry), it is possible to determine the position of a measured feature in the target to a low level of uncertainty (Lowney et al. 1995). This work proved that it is possible to obtain agreement between theoretical models and controlled experiments. In the work described here, the concept of image construction using the simulation (for the inverse modeling of images) is introduced where the Monte Carlo line scan results are carried one step further to construct simulated SEM images (i.e., theoretical SEM micrographs). The MONSEL method follows the electrons in 3-D, and MON- SEL-III can provide information regarding edges and other 3-D structures. Therefore, it is possible to computer construct a theoretically derived image in two dimensions. Clearly, it is possible to just compare single lines of data (line scans) to a single Monte Carlo line scan. However, SEM users are more comfortable viewing and comparing Unknown real IC structure Library of waveforms Measured waveform Fully adjusted waveforms Raw modeled waveforms Best match FIG. 1 Measurement and inverse modeling through modeled library to find the best match. IC = integrated circuit. n+1 n n 1 Known modeled structures images. The resultant image is also very useful in blind analysis and testing of algorithms currently found in SEMs used for metrology. This technique also provides a graphic if not educational capability to show the effects of changes in instrument parameters introduced to the instrument. These simulated images in which all the parameters are well known can be directly and visually compared with experimentally observed images. This approach is the first step in an inverse modeling process in which an image generated from a forward-modeled line scan is optimized to agree with an actual SEM image. This demonstration of the generation of SEM images from Monte Carlo line scans provides the ability to simulate and compare 2-D SEM images. For the work represented here, the examples for the simulations were semiconductor lines where the importance of the development of accurate linewidth metrology resides. The interesting and economically important location for the linewidth measurement is far from the tip or tips of the lines, thus those are the ones that were modeled and reconstructed. The ability to generate Monte Carlo SEM images that agree with the experiment is very important for comparing instruments and measurement algorithms and for analyzing the images of a given instrument. Monte Carlo images can be used as a reference image for instrument-comparison purposes or as a standard image for monitoring the performance of a given instrument over time. In addition, the agreement between modeled and real images is one way to obtain confidence that the details of the real image are being interpreted correctly. As modeled images get closer and closer to real images, it becomes possible to deal with, and better account for, the minute details of SEM image generation. This is becoming increasingly more important as the semiconductor industry approaches measurements with uncertainties at atomic dimensions. Materials and Methods Modeling In the present work, the NIST-developed MONSEL Monte Carlo program (Lowney 1996b) was used to compute the electron beam interactions and the resulting SEM output signals. The specimen modeled by MONSEL consisted of parallel APEX E photoresist lines on a silicon substrate, palladium lines on silicon, and silicon lines on silicon (Shipley Company, LLC, Marlborough, Mass., USA). The photoresist lines were µm wide on top with a 2 wall slope, and 1 mm high with a pitch of µm. The direction perpendicular to the axis of these lines was designated as the X-direction. The emitted secondary and backscattered electron distributions were computed for 20,000 incident beam electrons at each of one thousand locations along this X-direction. The electron collection is considered to be polar, thus approximating an instrument with an in-lens electron detector similar to the current lab-

3 M. T. Postek et al.: 2-D simulation and modeling in SEM 181 oratory instrument. Other electron collection schemes could be implemented, but have not been at this time. MONSEL was run on a CRAY 90 Computer 3, (Cray Inc., Seattle, Wash., USA) and the computation time was about 24 h. MONSEL has also been installed and tested on a 200 MHz desktop personal computer (PC) running FORTRAN 77 (Salford Software Ltd., Manchester, UK). The computation times on the desktop computer were found to be about the same as for the CRAY 90, since the central processing unit (CPU) time is shared with other users with the CRAY 90, and the PC CPU is used totally to run the MON- SEL code. The buildup of a positive or negative charge on a not fully conductive specimen remains a problem for SEM metrology. Therefore, conditions were chosen in the experimental examples in which charge build-up was not present or negligible. Charging can affect the electron beam and thus the measurements (Postek 1984). Accurate metrology in the SEM requires that an accurate charging model also be developed or that charging be avoided. Currently, MONSEL does not incorporate a charging model algorithm; therefore, the work here was restricted to noncharging conditions. Ko and Chung (1998) and Ko et al. (1998) have quantitatively investigated the effects of charging utilizing Monte Carlo modeling. Such modeling will be incorporated into MON- SEL in the future; however, specimen and instrumentation variations make charging difficult to reproduce and thus remain difficult to study in the quantitative manner necessary for accurate metrology at this time. Magnification Since pixel size in the experimental SEM image to be compared with the MONSEL image was an important parameter, the X direction (i.e., scan direction) magnification of the experimental SEM image was calibrated with the NIST magnification standard SRM 484 (NIST, Gaithersburg, Md., USA). Beam Diameter The input parameters to MONSEL included line and substrate material, line geometry (including wall angle), separation of neighboring lines, and so forth. MONSEL then provided signal output data for a multi-point line scan with known positions in the X-direction for the beam/specimen interaction of an infinitely small electron beam diameter. The output for such a calculation for a 3-line photoresist structure is shown in a line scan format in Figure 2. These data were then transferred to an auxiliary computer 3 Certain commercial equipment is identified in this report to describe the experimental procedure adequately. Such identification does not imply recommendation or endorsement by the National Institute of Standards and Technology, nor does it imply that the equipment identified is necessarily the best available for the purpose. SE intensity 0 Pixels 1000 FIG. 2 Monte Carlo modeled line scan of the secondary electron (SE) signal of photoresist. program to account for the finite electron beam at each X location (Lowney 1996b). An electron beam that had induced astigmatism could be modeled but has not been implemented at this time. Residual, hence sufficiently small astigmatism can be dealt with using proper convoluting parameters. Amplitude Scaling The next step in the process was to scale the amplitude of the line-scan data produced by MONSEL. The intensity of the SEM output signal produced by MONSEL was in arbitrary units and needs to be scaled to lie within the range of 0 to 255 (the typical range of the stored digitized image in the SEM) for comparison with experiment. This is done by the same auxiliary program, which normalizes the maximum computed amplitude to 255. Formation of an Image After amplitude scaling, the line-scan data points were then turned into an image by multiple replication of the line-scan results in a direction parallel to the axis of the lines (Y direction), as shown in Figure 3. Various image-processing steps similar to those commonly used in the SEM were then applied to the resulting Monte Carlo image in order to obtain a match closer to the actual images. This was done by using Adobe Photoshop 3 software tools (Adobe Systems, Inc., San Jose, Calif., USA) starting with the modeled image of Figure 3. The following operations were performed: (1) addition of noise, (2) adjustment of contrast and brightness, and (3) application of filter operations to account for blur effects in the real image. The final result is shown in Figure 4. Photoshop was used because it provides a convenient and effective way for making very fine changes to an image. It must also be noted that the processing functions chosen in this work were carefully checked to make sure that no alteration in pixel position (in either X or Y) was made inadvertently (which would invalidate any dimensional measurements using the processed modeled image to interpret geometry information about the specimen). The technique described here demonstrates the ability of matching modeled and measured images to the level of ex-

4 182 Scanning Vol. 24, 4 (2002) cellent similarity. Artificial or modeled images, with known parameters (i.e., the amount and type of noise, nonlinearity, etc.) that otherwise closely resemble measured images, can be used for such purposes as metrology algorithm comparisons. In general, there are several ways of comparing and finding the best fits for 1-D (line scans) and 2- D distributions (images). This was shown on line scans obtained from top-down CD-SEM images of photoresist lines (Davidson and Vladar 1999) and is the subject of ongoing research. Final Touches Relative position values corresponding to the SEM image were then added to the Monte Carlo image, as shown in Figure 4. Alphanumeric characters could also be added to the image to make it more convincing. In addition, using other digital imaging techniques, additional noise, and sample edge roughness or other sources of imprecision were introduced into the image to provide an image that closely matches the standard SEM image shown in Figure 5. Discussion FIG. 3 Raw Monte Carlo-simulated lines with a known beam diameter convoluted into the data and reproduced in the Y-direction to form an image. Images formed from Monte Carlo line scans have many uses if, in fact, they agree with the corresponding experimentally measured images by some reasonable criteria. For example, Figure 6 shows the effect of different Gaussian beam diameters upon the quality of the edge and its location. Notice how the image becomes softer and the edge becomes less defined as the beam diameter is increased. Figure 7 demonstrates the difference between collected secondary and backscattered electrons on the expected image. These figures should agree with the experimental results. If they do not agree, then something is wrong either with the Monte Carlo model, its input parameters, or the experiment itself. One reason for a discrepancy could be that the model may not take into account all of the significant features of the signal generation for the SEM and/or the specimen in question. In addition, the assumed properties of the SEM itself or of the specimen may not be correct or appropriate. For example, in a study of x-ray mask metrology (Postek et al. 1993), the model predicts FIG. 4 Monte Carlo-modeled lines after several processing steps, as described in the text. FIG. 5 Actual scanning electron microscope (SEM) image of measured photoresist lines taken in a critical-dimension SEM as a comparison with the modeled image shown in Figure 4.

5 M. T. Postek et al.: 2-D simulation and modeling in SEM 183 that a characteristic notch or ledge should be present on the modeled profiles. This notch was predicted to occur when the electron beam was incident on the sloping edge of the line and the size of the notch relates directly to the slope. The size of the notch was predicted to be about 8 10 nm for a wall having 4 of slope. This notch was not observed in initial SEM experimental data because the thermionic emission cathode SEM used was not resolving that particular detail. Recognizing and looking for this detail as a characteristic that should be present in the experimental data required higher instrument resolution. Utilizing a field-emission instrument to view the sample resolved the discrepancy between the modeled and experimental data. Clearly, recognizing and correcting the causes of disagreement between Monte Carlo-generated images and the corresponding experimental images can lead to a more complete understanding of the particular specimen used and/or the SEM itself. (a) (b) FIG. 6 Monte Carlo modeled image of palladium lines on silicon with a known Gaussian beam diameter convoluted into the data; (a) 60nm beam diameter, (b) 150 nm beam diameter. (a) FIG. 7 Monte Carlo modeled image of palladium lines on silicon; (a) secondary electron image, (b) backscattered electron image. (b)

6 184 Scanning Vol. 24, 4 (2002) Comparison of measurement algorithms is another excellent application of this simulation work. The various edge-detection criteria in present SEM linewidth measurements are somewhat arbitrary and, at best, are usually not on a firm theoretical foundation. Table I shows the results of the application of several common algorithms to the measurement of a simulated palladium on a silicon-line image such as those described above. A simulated image is extremely valuable in this measurement because all the input parameters to the simulated image are known. Hence, the pitch, linewidth, and space width are accurately known. A similar discrepancy among width measurements was demonstrated in the SEM Interlaboratory Study using experimental data (Postek et al. 1993). For accurate determination of where the measurement of width should be made on the intensity profile, an accurate model is required. The images and line scans taken with the CD-SEM contain much more information than is generally being used. Modeling the possible cases can be helpful in drawing correct conclusions and makes it possible to use more accurate, customized measurement algorithms. In principle, the accuracy of the measured linewidth can be determined, as well as its robustness to such perturbing factors as edge roughness, edge non-verticality, proximity effects, signalto-noise ratio, focus, astigmatism, and so forth. Unfortunately, the results of such studies will be specimen and SEM specific and are not generally applicable to all the cases encountered in practice. However, if the model were sufficiently fast and user friendly it would be conceivable to generate all the necessary images. Monte Carlo-generated images of suitably designed test specimens can be useful for evaluating the properties of the SEM itself. Indeed, the Monte Carlo input parameters required to obtain an acceptable agreement between theory and experiment has the potential for revealing a significant amount of information about the properties and behavior of an SEM. Once an acceptable level of agreement is obtained for an SEM, the Monte Carlo image can be used as a standard of comparison to monitor the future performance of that SEM. The advantage of the Monte Carlo image over its corresponding real image is that any changes in the SEM over time can be analyzed by repeating the modeling on the changed image and noting the change in the input parameters required. If an acceptable level of agreement between theoretical and experimental images of a test (or standard) specimen TABLE I Comparison of measurement algorithms applied to a modeled image Algorithm Space width (nm) Linewidth (nm) Peak Threshold Regression Sigmoid Actual has been demonstrated, then the corresponding input parameters to the Monte Carlo modeling can be used to characterize that SEM for comparison purposes. The recently proposed SEM Monitor Fourier-transform method of providing a figure of merit for monitoring any degradation in SEM performance over time used a single figure of merit of that particular SEM when optimized (or when new) as a standard of comparison (Postek and Vladár 1998, Vladár et al. 1998). The use of Monte Carlo-generated images of a suitable specimen could provide more meaningful and more easily understood characterization parameters for this purpose. Monte Carlo modeling in the absence of the necessary information about the specimen and/or about the SEM itself can be a frustrating, expensive, and time-consuming job. However, once the input parameters pertinent to the SEM have been characterized by using an appropriate standard specimen, only the current specimen parameters remain in question, and the work required to get agreement between theory and experiment is considerably easier. Indeed, the specimen parameters thus obtained should be useful for understanding the behavior of the specimen in the SEM, for understanding its SEM image, and for making more accurate dimensional measurements on the specimen. Conclusion There have been several recommendations on how to characterize and/or monitor the performance of an SEM. However, in the final analysis, it is the quality of the image (or micrograph) that is usually of prime importance. A single figure of merit may have the advantage of simplicity to implement, but has the disadvantage of providing only limited information. The alternative, discussed in this paper, is to evaluate the input parameters of a Monte Carlo model necessary to obtain a satisfactory agreement between the modeled and the real image of some well-selected standard specimen. This alternative has the advantage of providing more and more easily understood information about the microscope, but has the disadvantage of being more difficult to implement. However, it has the further advantage that, once implemented, the necessary input parameters to obtain a satisfactory agreement for unknown specimens can yield important information about those specimens (e.g., line width). Clearly, it is possible just to compare single lines of data (line scans) to obtain similar results. However, SEM users are more comfortable with images and this provides a graphic if not educational capability to show the effects of changes in instrument parameters introduced to the instrument. Acknowledgments The authors would like to thank and acknowledge the support provided by International SEMATECH and the Of-

7 M. T. Postek et al.: 2-D simulation and modeling in SEM 185 fice of Microelectronics Programs at NIST for partially funding this work. References Joy DC: Monte Carlo Modeling for Electron Microscopy and Microanalysis. Oxford University Press, N.Y. (1995) Davidson MP and Vladar AE: An inverse scattering approach to SEM line width measurements. Proc SPIE 3677, (1999) Ko Y-U and Chung M-S: Monte Carlo simulation of charging effects in linewidth metrology (II) on insulator substrate. Scanning 20, (1998) Ko Y-U, Kim SW, Chung M-S: Monte Carlo simulation of charging effects during observation of trench structures by scanning electron microscope. Scanning 20, (1998) Lowney JR: Application of Monte Carlo simulations to critical dimension metrology in a scanning electron microscope. Scan Microsc 10, (1996a) Lowney JR: Monte Carlo simulation of scanning electron microscope signals for lithographic metrology. Scanning 18, (1996b) Lowney JR, Postek MT, Vladár AE: A Monte Carlo model for SEM linewidth metrology. Proc SPIE 2196, (1994) Lowney JR, Postek MT, Vladár AE: Workshop report 3: Edge positions from scanning electron microscope signals by comparing models with measurements. MAS Proceedings (Ed. Etz E), (1995) Postek MT: Low accelerating voltage inspection and linewidth measurement in the scanning electron microscope. SEM/1984/III, SEM, Inc., (1984) Postek MT and Vladár AE: Image sharpness measurement in scanning electron microscopy, Part 1. Scanning 20, 1 9 (1998) Postek MT, Lowney JR, Vladar AE, Keery WJ, Marx E, Larrabee RD: X-ray lithography mask metrology: Use of transmitted electrons in an SEM for linewidth measurement. J Res Natl Inst Stand Technol 98, (1993) Postek MT, Vladár AE, Banke GW, Reilly TW: Workshop report 1: Scanning electron microscope metrology as related to a defined edge structure. MAS Proceedings (Ed. Etz E), (1995) Postek MT, Vladár AE, Jones S, Keery WJ: Interlaboratory study on the lithographically produced scanning electron microscope magnification standard prototype. NIST J Res 98, (1993) Vladár AE, Postek MT, Davidson MP: Image sharpness measurement in scanning electron microscopy, Part 2. Scanning 20, (1998)

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Novel Tip Shape Reconstruction Method for Restoration of AFM Topography Images Using Nano-structures with Given Shapes

Novel Tip Shape Reconstruction Method for Restoration of AFM Topography Images Using Nano-structures with Given Shapes ANALYTICAL SCIENCES FEBRUARY 2011, VOL. 27 157 2011 The Japan Society for Analytical Chemistry Novel Tip Shape Reconstruction Method for Restoration of AFM Topography Images Using Nano-structures with

More information

Advanced materials research using the Real-Time 3D Analytical FIB-SEM 'NX9000'

Advanced materials research using the Real-Time 3D Analytical FIB-SEM 'NX9000' SCIENTIFIC INSTRUMENT NEWS 2017 Vol. 9 SEPTEMBER Technical magazine of Electron Microscope and Analytical Instruments. Technical Explanation Advanced materials research using the Real-Time 3D Analytical

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Motif parameters based characterization of line edge roughness(ler) of a nanoscale grating structure

Motif parameters based characterization of line edge roughness(ler) of a nanoscale grating structure Motif parameters based characterization of line edge roughness(ler) of a nanoscale grating structure Zhuangde Jiang, 2, Fengxia Zhao, Weixuan Jing, Philip D. Prewett 2, and Kyle Jiang 2 State Key Laboratory

More information

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER MARK D. VAUDIN NIST, Gaithersburg, MD, USA. Abstract A fast and accurate method that uses a conventional powder x-ray diffractometer

More information

Exam Microscopic Measurement Techniques 4T th of April, 2008

Exam Microscopic Measurement Techniques 4T th of April, 2008 Exam Microscopic Measurement Techniques 4T300 29 th of April, 2008 Name / Initials: Ident. #: Education: This exam consists of 5 questions. Questions and sub questions will be rewarded with the amount

More information

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Katsuichi Kitagawa Toray Engineering Co. Ltd., 1-1-45 Oe, Otsu 50-141, Japan Corresponding

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS J. KORNIS, P. PACHER Department of Physics Technical University of Budapest H-1111 Budafoki út 8., Hungary e-mail: kornis@phy.bme.hu, pacher@phy.bme.hu

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

CT Systems and their standards

CT Systems and their standards CT Systems and their standards Stephen Brown Engineering Measurement 11 th April 2012 Industrial X-ray computed tomography: The future of co-ordinate metrology? Burleigh Court, Loughborough University

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

INCAGSR. Gun Shot Residue

INCAGSR. Gun Shot Residue INCAGSR GSR Gun Shot Residue INCAGSR G A dedicated solution for automated detection and analysis of Gun Shot Residue using the scanning electron microscope This product has been designed in conjunction

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Comparison between 3D Digital and Optical Microscopes for the Surface Measurement using Image Processing Techniques

Comparison between 3D Digital and Optical Microscopes for the Surface Measurement using Image Processing Techniques Comparison between 3D Digital and Optical Microscopes for the Surface Measurement using Image Processing Techniques Ismail Bogrekci, Pinar Demircioglu, Adnan Menderes University, TR; M. Numan Durakbasa,

More information

Optical microscope angular illumination analysis

Optical microscope angular illumination analysis Optical microscope angular illumination analysis Ravikiran Attota * and Richard Silver Semiconductor and Dimensional Metrology Division, National Institute of Standards and Technology, Gaithersburg, MD

More information

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers By Jeffrey L. Guttman, Ph.D., Director of Engineering, Ophir-Spiricon Abstract: The Mode-Field Diameter (MFD) and spot

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Reconstructing Images of Bar Codes for Construction Site Object Recognition 1

Reconstructing Images of Bar Codes for Construction Site Object Recognition 1 Reconstructing Images of Bar Codes for Construction Site Object Recognition 1 by David E. Gilsinn 2, Geraldine S. Cheok 3, Dianne P. O Leary 4 ABSTRACT: This paper discusses a general approach to reconstructing

More information

Ch 22 Inspection Technologies

Ch 22 Inspection Technologies Ch 22 Inspection Technologies Sections: 1. Inspection Metrology 2. Contact vs. Noncontact Inspection Techniques 3. Conventional Measuring and Gaging Techniques 4. Coordinate Measuring Machines 5. Surface

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Physical Optics. You can observe a lot just by watching. Yogi Berra ( )

Physical Optics. You can observe a lot just by watching. Yogi Berra ( ) Physical Optics You can observe a lot just by watching. Yogi Berra (1925-2015) OBJECTIVES To observe some interference and diffraction phenomena with visible light. THEORY In a previous experiment you

More information

Monte Carlo simulation of secondary electron images for gold nanorods on the silicon substrate

Monte Carlo simulation of secondary electron images for gold nanorods on the silicon substrate Pramana J. Phys. (2018) 90:81 https://doi.org/10.1007/s12043-018-1572-7 Indian Academy of Sciences Monte Carlo simulation of secondary electron images for gold nanorods on the silicon substrate P ZHANG

More information

CT Reconstruction with Good-Orientation and Layer Separation for Multilayer Objects

CT Reconstruction with Good-Orientation and Layer Separation for Multilayer Objects 17th World Conference on Nondestructive Testing, 25-28 Oct 2008, Shanghai, China CT Reconstruction with Good-Orientation and Layer Separation for Multilayer Objects Tong LIU 1, Brian Stephan WONG 2, Tai

More information

Michael Moody School of Pharmacy University of London 29/39 Brunswick Square London WC1N 1AX, U.K.

Michael Moody School of Pharmacy University of London 29/39 Brunswick Square London WC1N 1AX, U.K. This material is provided for educational use only. The information in these slides including all data, images and related materials are the property of : Michael Moody School of Pharmacy University of

More information

Simulation of Internal Backscatter Effects on MTF and SNR of Pixelated Photon-counting Detectors

Simulation of Internal Backscatter Effects on MTF and SNR of Pixelated Photon-counting Detectors Simulation of Internal Backscatter Effects on MTF and SNR of Pixelated Photon-counting Detectors Alexander Korn, Juergen Giersch a and Martin Hoheisel b a Physikalisches Institut Universitaet Erlangen-Nuernberg,

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Michael Moody School of Pharmacy University of London 29/39 Brunswick Square London WC1N 1AX, U.K.

Michael Moody School of Pharmacy University of London 29/39 Brunswick Square London WC1N 1AX, U.K. This material is provided for educational use only. The information in these slides including all data, images and related materials are the property of : Michael Moody School of Pharmacy University of

More information

Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor

Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor Senior Project Department of Physics California Polytechnic State University San Luis Obispo By:

More information

Pixel Size Calibration of Video Probe Measuring Machines James G. Salsbury Center for Precision Metrology University of North Carolina at Charlotte

Pixel Size Calibration of Video Probe Measuring Machines James G. Salsbury Center for Precision Metrology University of North Carolina at Charlotte Pixel Size Calibration of Video Probe Measuring Machines James G. Salsbury Center for Precision Metrology University of North Carolina at Charlotte Coordinate measuring machines (CMMs) equipped with video

More information

Measurement of Highly Parabolic Mirror using Computer Generated Hologram

Measurement of Highly Parabolic Mirror using Computer Generated Hologram Measurement of Highly Parabolic Mirror using Computer Generated Hologram Taehee Kim a, James H. Burge b, Yunwoo Lee c a Digital Media R&D Center, SAMSUNG Electronics Co., Ltd., Suwon city, Kyungki-do,

More information

Condenser Optics for Dark Field X-Ray Microscopy

Condenser Optics for Dark Field X-Ray Microscopy Condenser Optics for Dark Field X-Ray Microscopy S. J. Pfauntsch, A. G. Michette, C. J. Buckley Centre for X-Ray Science, Department of Physics, King s College London, Strand, London WC2R 2LS, UK Abstract.

More information

ULTRASONIC TESTING AND FLAW CHARACTERIZATION. Alex KARPELSON Kinectrics Inc., Toronto, Canada

ULTRASONIC TESTING AND FLAW CHARACTERIZATION. Alex KARPELSON Kinectrics Inc., Toronto, Canada ULTRASONIC TESTING AND FLAW CHARACTERIZATION Alex KARPELSON Kinectrics Inc., Toronto, Canada 1. Introduction Ultrasonic Testing (UT) is a commonly used inspection method. Various techniques are employed

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

specular diffuse reflection.

specular diffuse reflection. Lesson 8 Light and Optics The Nature of Light Properties of Light: Reflection Refraction Interference Diffraction Polarization Dispersion and Prisms Total Internal Reflection Huygens s Principle The Nature

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Layout Analysis Embedded Memory

Layout Analysis Embedded Memory Sample Report For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: 613.829.0414

More information

Optimization of optical systems for LED spot lights concerning the color uniformity

Optimization of optical systems for LED spot lights concerning the color uniformity Optimization of optical systems for LED spot lights concerning the color uniformity Anne Teupner* a, Krister Bergenek b, Ralph Wirth b, Juan C. Miñano a, Pablo Benítez a a Technical University of Madrid,

More information

INTERNATIONAL JOURNAL OF MECHANICAL ENGINEERING AND TECHNOLOGY (IJMET)

INTERNATIONAL JOURNAL OF MECHANICAL ENGINEERING AND TECHNOLOGY (IJMET) INTERNATIONAL JOURNAL OF MECHANICAL ENGINEERING AND TECHNOLOGY (IJMET) International Journal of Mechanical Engineering and Technology (IJMET), ISSN 0976 ISSN 0976 6340 (Print) ISSN 0976 6359 (Online) Volume

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Atomic Force Microscope

Atomic Force Microscope Atomic Force Microscope Preparation Before our first appointment to use the microscope, please watch the video tutorials at https://www.afmworkshop.com/atomic-force-microscope-animated-tutorials/. The

More information

Lecture 7: Most Common Edge Detectors

Lecture 7: Most Common Edge Detectors #1 Lecture 7: Most Common Edge Detectors Saad Bedros sbedros@umn.edu Edge Detection Goal: Identify sudden changes (discontinuities) in an image Intuitively, most semantic and shape information from the

More information

Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers

Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers Thomas A. Germer a and Lipiin Sung a,b a National Institute of Standards and

More information

Sizing in Phased Array Technique using Diffraction and Amplitude Displacement

Sizing in Phased Array Technique using Diffraction and Amplitude Displacement 17th World Conference on Nondestructive Testing, 25-28 Oct 2008, Shanghai, China Sizing in Phased rray Technique using Diffraction and mplitude Displacement G. Nardoni, M. Certo, P. Nardoni I&T Nardoni

More information

METHODS FOR PERFORMANCE EVALUATION OF SINGLE AXIS POSITIONING SYSTEMS: POINT REPEATABILITY

METHODS FOR PERFORMANCE EVALUATION OF SINGLE AXIS POSITIONING SYSTEMS: POINT REPEATABILITY METHODS FOR PERFORMANCE EVALUATION OF SINGLE AXIS POSITIONING SYSTEMS: POINT REPEATABILITY Nathan Brown 1 and Ronnie Fesperman 2 1 ALIO Industries. Wheat Ridge, CO, USA 2 National Institute of Standards

More information

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography S.-Y. Lee and Kasi Anbumony Department of Electrical and Computer Engineering Auburn University, Auburn, AL 39 Fax: (33) -19,

More information

Supporting Information

Supporting Information Supporting Information Electrospray Differential Mobility Hyphenated with Single Particle Inductively Coupled Plasma Mass Spectrometry for Characterization of Nanoparticles and Their Aggregates Jiaojie

More information

QUANTAX EDS SYSTEM SOP

QUANTAX EDS SYSTEM SOP QUANTAX EDS SYSTEM SOP December 2017 Energy-Dispersive X-Ray Spectroscopy (EDS, EDX, EDXS or XEDS), is an analytical technique used for the elemental analysis or chemical characterization of a sample.

More information

Big Mathematical Ideas and Understandings

Big Mathematical Ideas and Understandings Big Mathematical Ideas and Understandings A Big Idea is a statement of an idea that is central to the learning of mathematics, one that links numerous mathematical understandings into a coherent whole.

More information

A Six Degree of Freedom, Piezoelectrically Actuated Translation Stage

A Six Degree of Freedom, Piezoelectrically Actuated Translation Stage A Six Degree of Freedom, Piezoelectrically Actuated Translation Stage Richard M. Seugling, Roy H.R. Jacobs, Stuart T. Smith, Lowell P. Howard, Thomas LeBrun Center for Precision Metrology, UNC Charlotte,

More information

Automatic Integrated Circuit Die Positioning in the Scanning Electron Microscope

Automatic Integrated Circuit Die Positioning in the Scanning Electron Microscope SCANNING VOL. 24, 86 91 (2002) Received: January 26, 2000 FAMS, Inc. Accepted with revision: December 27, 2001 Automatic Integrated Circuit Die Positioning in the Scanning Electron Microscope H.W. TAN,

More information

Developments in Dimensional Metrology in X-ray Computed Tomography at NPL

Developments in Dimensional Metrology in X-ray Computed Tomography at NPL Developments in Dimensional Metrology in X-ray Computed Tomography at NPL Wenjuan Sun and Stephen Brown 10 th May 2016 1 Possible factors influencing XCT measurements Components Influencing variables Possible

More information

True Advancements for Longitudinal Weld Pipe Inspection in PA

True Advancements for Longitudinal Weld Pipe Inspection in PA NDT in Canada 2016 & 6th International CANDU In-Service Inspection Workshop, Nov 15-17, 2016, Burlington, ON (Canada) www.ndt.net/app.ndtcanada2016 True Advancements for Longitudinal Weld Pipe Inspection

More information

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS 1 RONNIE O. SERFA JUAN, 2 CHAN SU PARK, 3 HI SEOK KIM, 4 HYEONG WOO CHA 1,2,3,4 CheongJu University E-maul: 1 engr_serfs@yahoo.com,

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Quantifying the Dynamic Ocean Surface Using Underwater Radiometric Measurements

Quantifying the Dynamic Ocean Surface Using Underwater Radiometric Measurements DISTRIBUTION STATEMENT A. Approved for public release; distribution is unlimited. Quantifying the Dynamic Ocean Surface Using Underwater Radiometric Measurements Dick K.P. Yue Center for Ocean Engineering

More information

DEVELOPMENT OF A PROBABILISTIC SENSOR MODEL FOR A 3D IMAGING SYSTEM

DEVELOPMENT OF A PROBABILISTIC SENSOR MODEL FOR A 3D IMAGING SYSTEM 24th International Symposium on on Automation & Robotics in in Construction (ISARC 2007) Construction Automation Group, I.I.T. Madras DEVELOPMENT OF A PROBABILISTIC SENSOR MODEL FOR A 3D IMAGING SYSTEM

More information

INTERFERENCE. Interf - 1

INTERFERENCE. Interf - 1 INTERFERENCE This laboratory will investigate the phenomenon of interference. The interference and diffraction of light waves will be studied. Specifically, the interference patterns of a single slit,

More information

Coherent Gradient Sensing Microscopy: Microinterferometric Technique. for Quantitative Cell Detection

Coherent Gradient Sensing Microscopy: Microinterferometric Technique. for Quantitative Cell Detection Coherent Gradient Sensing Microscopy: Microinterferometric Technique for Quantitative Cell Detection Proceedings of the SEM Annual Conference June 7-10, 010 Indianapolis, Indiana USA 010 Society for Experimental

More information

Sizing and evaluation of planar defects based on Surface Diffracted Signal Loss technique by ultrasonic phased array

Sizing and evaluation of planar defects based on Surface Diffracted Signal Loss technique by ultrasonic phased array Sizing and evaluation of planar defects based on Surface Diffracted Signal Loss technique by ultrasonic phased array A. Golshani ekhlas¹, E. Ginzel², M. Sorouri³ ¹Pars Leading Inspection Co, Tehran, Iran,

More information

THE INFLUENCE OF SURFACE ROUGHNESS ON THE REFRACTION OF X-RAYS AND ITS EFFECT ON BRAGG PEAK POSITIONS

THE INFLUENCE OF SURFACE ROUGHNESS ON THE REFRACTION OF X-RAYS AND ITS EFFECT ON BRAGG PEAK POSITIONS Copyright JCPDS - International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Volume 46. 232 THE INFLUENCE OF SURFACE ROUGHNESS ON THE REFRACTION OF X-RAYS AND ITS EFFECT ON BRAGG PEAK

More information

2. Equipment, specimens and inspection procedures

2. Equipment, specimens and inspection procedures 4th International CANDU In-service Inspection Workshop and NDT in Canada 2012 Conference, 2012 June 18-21, Toronto, Ontario Improved Flaw Characterization and Sizing in Pressure Tubes Alex KARPELSON Kinectrics

More information

Advances in Disk Metrology

Advances in Disk Metrology Advances in Disk Metrology Robert Kertayasa Zeta Instruments March 2011 www.zeta-inst.com 1909 Concourse Drive San Jose CA 95131 PHONE (408) 577-1888 FAX (408) 577-0588 Agenda Introduction Technology Sample

More information

Simulation of Radiographic Testing for POD Assessment

Simulation of Radiographic Testing for POD Assessment 4th European-American Workshop on Reliability of NDE - Th.5.B.2 Simulation of Radiographic Testing for POD Assessment Gerd-Rüdiger JAENISCH, Carsten BELLON, Uwe EWERT, BAM, Berlin, Germany Abstract. Efficient

More information

DETECTION AND QUANTIFICATION OF CRACKS IN PRESSURE VESSELS USING ESPI AND FEA MODELLS

DETECTION AND QUANTIFICATION OF CRACKS IN PRESSURE VESSELS USING ESPI AND FEA MODELLS DETECTION AND QUANTIFICATION OF CRACKS IN PRESSURE VESSELS USING ESPI AND FEA MODELLS J GRYZAGORIDIS, DM FINDEIS, JR MYLES Department of Mechanical Engineering University of Cape Town Abstract Non destructive

More information

Frequency-based method to optimize the number of projections for industrial computed tomography

Frequency-based method to optimize the number of projections for industrial computed tomography More Info at Open Access Database www.ndt.net/?id=18739 Frequency-based method to optimize the number of projections for industrial computed tomography Andrea Buratti 1, Soufian Ben Achour 1, Christopher

More information

THREE-DIMENSIONA L ELECTRON MICROSCOP Y OF MACROMOLECULAR ASSEMBLIE S. Visualization of Biological Molecules in Their Native Stat e.

THREE-DIMENSIONA L ELECTRON MICROSCOP Y OF MACROMOLECULAR ASSEMBLIE S. Visualization of Biological Molecules in Their Native Stat e. THREE-DIMENSIONA L ELECTRON MICROSCOP Y OF MACROMOLECULAR ASSEMBLIE S Visualization of Biological Molecules in Their Native Stat e Joachim Frank CHAPTER 1 Introduction 1 1 The Electron Microscope and

More information

QUARTZ PCI. SLOW-SCAN for ANALOG SEMs THE MEASURING, ANNOTATING, PROCESSING, REPORTING, ARCHIVING, DO EVERYTHING SOLUTION FOR MICROSCOPY

QUARTZ PCI. SLOW-SCAN for ANALOG SEMs THE MEASURING, ANNOTATING, PROCESSING, REPORTING, ARCHIVING, DO EVERYTHING SOLUTION FOR MICROSCOPY QUARTZ PCI SLOW-SCAN for ANALOG SEMs THE MEASURING, ANNOTATING, PROCESSING, REPORTING, ARCHIVING, DO EVERYTHING SOLUTION FOR MICROSCOPY ADDING THE LATEST DIGITAL IMAGING CAPABILITIES TO YOUR SEM IMPROVES

More information

3D Surface Metrology on PV Solar Wafers

3D Surface Metrology on PV Solar Wafers 3D Surface Metrology on PV Solar Wafers Karl- Heinz Strass cybertechnologies USA 962 Terra Bella Ave San Jose CA 95125 P: 408-689-8144 www.cybertechnologies.com Introduction Solar photovoltaics is the

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Experiments with Edge Detection using One-dimensional Surface Fitting

Experiments with Edge Detection using One-dimensional Surface Fitting Experiments with Edge Detection using One-dimensional Surface Fitting Gabor Terei, Jorge Luis Nunes e Silva Brito The Ohio State University, Department of Geodetic Science and Surveying 1958 Neil Avenue,

More information

Precision Engineering

Precision Engineering Precision Engineering 37 (213) 599 65 Contents lists available at SciVerse ScienceDirect Precision Engineering jou rnal h om epage: www.elsevier.com/locate/precision Random error analysis of profile measurement

More information

Reconstruction of atomic force microscopy image by using nanofabricated tip characterizer toward the actual sample surface topography

Reconstruction of atomic force microscopy image by using nanofabricated tip characterizer toward the actual sample surface topography Supplemental Material Reconstruction of atomic force microscopy image by using nanofabricated tip characterizer toward the actual sample surface topography Mingsheng Xu 1,*, Daisuke Fujita 2,3,*, and Keiko

More information

CALCULATION OF 3-D ROUGHNESS MEASUREMENT UNCERTAINTY WITH VIRTUAL SURFACES. Michel Morel and Han Haitjema

CALCULATION OF 3-D ROUGHNESS MEASUREMENT UNCERTAINTY WITH VIRTUAL SURFACES. Michel Morel and Han Haitjema CALCULATION OF 3-D ROUGHNESS MEASUREMENT UNCERTAINTY WITH VIRTUAL SURFACES Michel Morel and Han Haitjema Eindhoven University of Technology Precision Engineering section P.O. Box 513 W-hoog 2.107 5600

More information

Advantages of 3D Optical Profiling Over Other Measurement Technologies

Advantages of 3D Optical Profiling Over Other Measurement Technologies Horizontal milling Ra (6.35 μm, 250 uin.) Vertical milling Ra (1.6 μm, 63 uin.) Flat lapping Ra (0.2 μm, 8 uin.) Application Note #558 Correlating Advanced 3D Optical Profiling Surface Measurements to

More information

Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods

Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods AdMet 2012 Paper No. NM 002 Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods Shweta Dua, Rina Sharma, Deepak Sharma and VN Ojha National Physical Laboratory Council of Scientifi

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Physics 1CL WAVE OPTICS: INTERFERENCE AND DIFFRACTION Fall 2009

Physics 1CL WAVE OPTICS: INTERFERENCE AND DIFFRACTION Fall 2009 Introduction An important property of waves is interference. You are familiar with some simple examples of interference of sound waves. This interference effect produces positions having large amplitude

More information

A RADIAL WHITE LIGHT INTERFEROMETER FOR MEASUREMENT OF CYLINDRICAL GEOMETRIES

A RADIAL WHITE LIGHT INTERFEROMETER FOR MEASUREMENT OF CYLINDRICAL GEOMETRIES A RADIAL WHITE LIGHT INTERFEROMETER FOR MEASUREMENT OF CYLINDRICAL GEOMETRIES Andre R. Sousa 1 ; Armando Albertazzi 2 ; Alex Dal Pont 3 CEFET/SC Federal Center for Technological Education of Sta. Catarina

More information

Integrating Shape from Shading and Shape from Stereo for Variable Reflectance Surface Reconstruction from SEM Images

Integrating Shape from Shading and Shape from Stereo for Variable Reflectance Surface Reconstruction from SEM Images Integrating Shape from Shading and Shape from Stereo for Variable Reflectance Surface Reconstruction from SEM Images Reinhard Danzl 1 and Stefan Scherer 2 1 Institute for Computer Graphics and Vision,

More information

Chapter 12 Notes: Optics

Chapter 12 Notes: Optics Chapter 12 Notes: Optics How can the paths traveled by light rays be rearranged in order to form images? In this chapter we will consider just one form of electromagnetic wave: visible light. We will be

More information

Engineering 1000 Chapter 6: Abstraction and Modeling

Engineering 1000 Chapter 6: Abstraction and Modeling Engineering 1000 Chapter 6: Abstraction and Modeling Outline Why is abstraction useful? What are models? how are models different from theory and simulation? Examples from microelectronics Types of model

More information

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD Hiroaki Nishioka, Satoru Takahashi Kiyoshi Takamasu Department of Precision Engineering, The University of Tokyo,

More information

Scanner Parameter Estimation Using Bilevel Scans of Star Charts

Scanner Parameter Estimation Using Bilevel Scans of Star Charts ICDAR, Seattle WA September Scanner Parameter Estimation Using Bilevel Scans of Star Charts Elisa H. Barney Smith Electrical and Computer Engineering Department Boise State University, Boise, Idaho 8375

More information

ADVANCED IMAGE PROCESSING METHODS FOR ULTRASONIC NDE RESEARCH C. H. Chen, University of Massachusetts Dartmouth, N.

ADVANCED IMAGE PROCESSING METHODS FOR ULTRASONIC NDE RESEARCH C. H. Chen, University of Massachusetts Dartmouth, N. ADVANCED IMAGE PROCESSING METHODS FOR ULTRASONIC NDE RESEARCH C. H. Chen, University of Massachusetts Dartmouth, N. Dartmouth, MA USA Abstract: The significant progress in ultrasonic NDE systems has now

More information

Phased-array applications for aircraft maintenance: fastener-hole inspection

Phased-array applications for aircraft maintenance: fastener-hole inspection Phased-array applications for aircraft maintenance: fastener-hole inspection Guillaume Neau 1, Emmanuel Guillorit 2, Luc Boyer 2 and Herve Tretout 2 1 BERCLI Phased Array Solutions, Berkeley, CA94703,

More information

Upgraded Swimmer for Computationally Efficient Particle Tracking for Jefferson Lab s CLAS12 Spectrometer

Upgraded Swimmer for Computationally Efficient Particle Tracking for Jefferson Lab s CLAS12 Spectrometer Upgraded Swimmer for Computationally Efficient Particle Tracking for Jefferson Lab s CLAS12 Spectrometer Lydia Lorenti Advisor: David Heddle April 29, 2018 Abstract The CLAS12 spectrometer at Jefferson

More information

Nicholas J. Giordano. Chapter 24. Geometrical Optics. Marilyn Akins, PhD Broome Community College

Nicholas J. Giordano.   Chapter 24. Geometrical Optics. Marilyn Akins, PhD Broome Community College Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 24 Geometrical Optics Marilyn Akins, PhD Broome Community College Optics The study of light is called optics Some highlights in the history

More information

Range Sensors (time of flight) (1)

Range Sensors (time of flight) (1) Range Sensors (time of flight) (1) Large range distance measurement -> called range sensors Range information: key element for localization and environment modeling Ultrasonic sensors, infra-red sensors

More information

Null test for a highly paraboloidal mirror

Null test for a highly paraboloidal mirror Null test for a highly paraboloidal mirror Taehee Kim, James H. Burge, Yunwoo Lee, and Sungsik Kim A circular null computer-generated hologram CGH was used to test a highly paraboloidal mirror diameter,

More information