Multi-Level Overlay Techniques for Improving DPL Overlay Control

Size: px
Start display at page:

Download "Multi-Level Overlay Techniques for Improving DPL Overlay Control"

Transcription

1 Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen, David Tien, Dongsub Choi 4 1 United Microelectronics Corporation / Nanke nd Rd., Tainan Science Park, Shnshih Township, Tainan County 741, Taiwan 00, R. O. C. KLA-Tencor Corporation / OMD, HSINCH-7/Shan-Hua, Tainan, Taiwan KLA-Tencor Corporation, One Technology Drive, Milpitas, CA 9505, USA 4 KLA-Tencor Corporation / OMD, Hwasung-city, Gyeonggi-do, , Korea ABSTRACT Overlay continues to be one of the key challenges for lithography in semiconductor manufacturing, especially in light of the accelerated pace of device node shrinks. This reality will be especially evident at 0nm node where DPL and multi-layer overlay will require 4nm or less in overlay control across many critical layers in order to meet device yield entitlements. The motivation for this paper is based on improving DPL overlay control in face of the high complexity involved with multi-layer overlay requirements. For example, the DPL-nd-litho layer will need to achieve tight registration with the DPL-1st-litho layer, and at the same time, it will need to achieve tight overlay to the reference-litho layer, which in some cases can also be a DPL layer. Of course, multi-level overlay measurements are not new, but the combination of increased complexity of multi-dpl layers and extremely challenging overlay specifications for 0nm node together will necessitate a better understanding of multi-level overlay control, specifically in terms of root cause analysis of multi-layer related overlay errors and appropriate techniques for improvement In this paper, we start with the identification of specific overlay errors caused by multi-layer DPL processing on full film stack product wafers. After validation of these findings with inter-lot and intra-lot controlled experiments, we investigate different advanced control techniques to determine how to optimize overlay control and minimize both intra-lot and inter-lot sources of error. A new approach to overlay data analysis will also be introduced that combines empirical data with target image quality data to more accurately determine and better explain the root cause error mechanism as well as provide effective strategies for improved overlay control. Keywords: Overlay, DPL, Multi-level 1. INTRODUCTION The shrinking of the semiconductor device involves not just device scaling but also device structure complexity to embody the device a in smaller area on wafer. As a consequence, the relationship among layers or litho steps becomes more complicated. This fact heightens the multi-layer overlay measurement complexity by involving more than two levels of overlay measurements for the same layer. In case of single-level measurement, the control can simply rely on one single layer measurement. However, multi-level overlay have more than measurement sets. Therefore, multi-level overlay control can have several different control schemes; one-level is for the control and the other-level is for monitoring only, both-levels are for the control with weighting, or one-level is for x-direction control and the other-level is for y-direction Metrology, Inspection, and Process Control for Microlithography VI, edited by Alexander Starikov, Proc. of SPIE Vol. 84, 84A 01 SPIE CCC code: /1/$18 doi: / Proc. of SPIE Vol A-1 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

2 control. The decision depends on the design of the device structure. In this paper, we mainly investigate the case that one level is for the control and the other is for monitoring. Figure1 is one of the typical multi-level overlay measurements, and Figure is another case. Our investigation focused on the DPL layer because DPL is expected to be the most popular multi-level use-case until EUV lithography becomes a viable solution. In the case of DPL there are measurements; one is Litho-( nd ) to Litho-(1 st ) and the other is Litho-( nd ) to Litho- 1(1 st ). Figure 1: Multi-level measurement for the single layer case (left) Figure : Multi-level measurement for DE/DPL layer case (right) When overlay control performance is being discussed, the residual of the control model is often used to indicate the maximum achievable performance. As an example for the maximum achievable, you can get overlay results very close to your residuals when you expose the wafer after rework because you already know the suitable parameters of the correction. This is one of the reasons that residual suggests the maximum achievable overlay performance. Of course, residuals can be also used for many other purposes like comparing different control models to see which one is superior for the control. However, in the case of multi-level overlay, the residuals have to be considered in the context of the multi-level control scheme being used. Conventional residuals are the leftover after removing the model parameters from the raw data. However, in the case of multi-level measurement, there are multi-sets of measurements, and the scanner cannot correct different set of corrections for one exposure step. Therefore, conventional residuals cannot be achieved in the same way for some overlay layer schemes of measurement. In this case, the actual achievable performance has to be considered differently. From here, we will call the actual achievable performance as relative residuals, and relative residuals will depend on the control scheme. Our investigation is based on the control scheme that measurement of Litho-( nd ) to Litho-1 is the measurement for overlay correction parameter calculation, and the measurement of Litho-( nd ) to Litho-(1 st ) is only being used for monitoring. Based on the investigated control scheme, conventional residuals and relative residuals were calculated in Figure. We noticed that the practical achievable overlay performance of Litho- ( nd ) to Litho-(1 st ) is significantly inferior to the typical residual of control based on a traditional binary layer combination. The reason for the gap is that the relative residuals of Litho-( nd ) to Litho-(1 st ) still contains unmodeled parameters, while traditional binary layer schemes generally remove all the modeled parameters completely from the raw data. This indicates that minimizing for the modeled parameter difference between measurements is one of the keys for maximum performance in overlay control. Once you minimize the modeled parameter difference between measurements, you can make your practical maximum achievable as close as possible to the residuals for each individual measurement. As the overlay margin becomes more and more tight, especially for DPL, each level of measurement will also require tighter overlay specification. Therefore, the gap between residuals and relative residuals has to Proc. of SPIE Vol A- Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

3 be minimized to achieve maximum performance. We found that the wafer scale term followed by the translational term were the main terms identified in the leftover model parameters for the experimental layer. Figure : Overlay Map of Field Average; upper-left is raw data of aa, upper-middle is residual of aa, bottomleft is raw data of bb, bottom-middle is residual of bb and bottom-right is the relative residual of bb High order correction is one of the methods to improve overlay and reduce the residuals since it provides higher degrees of freedom to model the data than linear corrections. We checked the potential improvement of several high order correction methods in Figure 4. Equation (1) is a model of high order grid for HOPC, and Equation () is a model of high order field for ihopc. We also checked another multi-level control layer, similar to Figure 1. Wafer scaling was also found to be the main difference between different levels of measurement in as shown in Figure 5. dx = k1+ k* + k5* + k7* dy = k + k4* + k6* + k8* + k9* + k11* + k10* + k1* + k1* + k14* + k15* + k16* + k17* + k18* + k19* + k0* Eq.(1) dx = k1+ k* + k5* + k7 * + k11* + k1* + k19* Eq.() dy = k + k4* + k6* + k8* + k10* + k1* + k14* + k16* + k0* Proc. of SPIE Vol A- Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

4 Figure 4 Residuals of several high order correction methods ( DPL layer ) Figure 5: Residuals of several high order correction methods (Non-DPL layer) In the next step, we investigated whether this phenomenon is stable. We measured lots to check inter-lot and intra-lot stability by checking the wafer scaling difference. The following are the wafer sampling for the measurements. The results show that the phenomenon is repeatable among inter-lot and intra-lot for the lots investigated (Figure 6): Lot-A : Slot # 1,,, 4, 5, 6, 7, 8, 9, 10, 11, 1, 1 Lot-B : Slot # 1, Lot-C : slot # 1,, 1, 14, 4, 5 Proc. of SPIE Vol A-4 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

5 Figure 6: Wafer Scaling difference between aa and bb. Experiment and analysis In the previous section, we identified the issues involved with multi-level control, which proved to be common to DPL schemes as well. Potential root-causes were identified to explain this effect and each root-cause was investigated accordingly: (1) Remaining model parameters at relevant reference layer () Process induced measurement () Process induced overlay (1) Remaining model parameters at relevant layer In Figure 7, when overlay of Litho-(1 st ) to Litho-1 is zero, there should be no overlay difference between overlay of Litho-( nd ) to Litho-1 and overlay of Litho-( nd ) to litho-(1 st ), because cc overlay should be the same as aa overlay minus bb overlay. Figure 8 shows simplified drawings for multi-level overlay cases of Figure 7. When overlay of cc is zero, there are cases; one is both aa and bb are zero, and the other is neither aa nor bb are not zero; but still aa overlay and bb overlay are the same. When overlay of cc is not zero, overlay of aa and bb become different. Therefore, we checked if the overlay difference between aa and bb is coming from the overlay of cc. If the scaling difference of aa and bb is coming from cc, we should have seen the scaling parameters of (aa-bb) cc as close to zero. Instead, the calculated results showed that the calculated scaling of (aa-bb) cc is nothing close to zero as shown in Figure 9. Considering that the calculated scaling is on the order of several nanometers at the wafer edge (Figure 9), it is hard to consider this as simple noise which might come from multiple different target measurements or multiple different locations. We also checked that the overlay raw data correlation between calculated overlay (aa-bb) and measured overlay cc as shown in Figure 10. Based on both results, we assume that there is an unknown overlay influence causing the scaling difference between aa and bb measurements on top of the remaining overlay error from the cc measurement. Proc. of SPIE Vol A-5 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

6 Figure 7: Multi-level measurement scheme Figure 8: Overlay error examples: Overlay of cc is zero (left and middle) and overlay of cc is non-zero (right) Figure 9: Calculated wafer scale of (aa-bb)-cc Figure 10: Raw data correlation between (aa-bb) vs cc () Process induced measurement One potential inconsistency of calculated cc-overlay (aa-bb) and measured cc-overlay is the influence process effect to the measurement. Non-litho-processes like etch, CMP (Chemical Mechanical Polish), or deposition can influence the profile of the measurement target. In turn, this profile influence can influence the overlay measurement. As one of the studies (Investigation on Accuracy of Process Overlay Measurement [1] ) previously reported, different wavelength of measurement can report different overlay, especially when the overlay target has process influence to target profile. This influence can eventually influence the measurement. KLA-Tencor has introduced a new capability to determine the image quality of the measurement. This capability was used for the investigation of the process induced measurement quality. We exposed the wafer with DE (Double Exposure) and then measured the overlay and reworked the wafer. We measured the same overlay target again but with DPL processes (non-lithography processes between litho-(1 st ) and litho-( nd )). This means the reference target of the overlay mark has been processed by non-lithographic DPL processes. We compared the image quality merit for both measurements in Figure 11, and we could easily confirm that measurement quality was degraded. Also, we measured that the overlay of Litho-( nd ) to Litho-1 with different color filters and found that wafer scaling range among colors was more than several nanometers as shown in Figure 1. Proc. of SPIE Vol A-6 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

7 Figure 11: Image quality merit of DE process (left) and Image quality merit of DPL process (right) Figure1: wafer scaling with 5 different measurements We used the image quality merit to create the optimized measurement recipe. Figure 1 shows the overlay map and image quality merit map before and after recipe optimization. We optimized the aa, bb and ccc recipes based on image quality merit (The cc recipe could not be optimized further since cc-overlay was measured at previous layer. Therefore, we used ccc recipe which is the measurement at Litho-( nd ) step for the target of cc overlay). After we re-checked the correlation based on optimized measurements, we could observe improved correlation between calculated overlay from aa-bb and measured overlay of ccc and also wafer scaling terms became more similar in Figure14. Nevertheless, a significant degree of wafer scaling difference still remained. Although measurement optimization helped to explain some of the wafer scaling difference, there is still some additional wafer scaling influence beyond measurement influence by process. (a) (b) (c) (d) Figure 1: Recipe optimization using image quality merit; overlay map before optimization (a), Image quality merit map before optimization (b), Overlay map after optimization (c), Image quality merit map after optimization (d) Proc. of SPIE Vol A-7 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

8 Figure14. correlation-x before recipe optimization (1), correlation-y before recipe optimization (), correlation-x after recipe optimization (), correlation-y after recipe optimization (4), wafer scaling terms comparison before recipe optimization (5), wafer scaling term comparison after recipe optimization (6) () Process induced overlay Another potential root-cause is that non-litho processes between Litho-(1 st ) and Litho-( nd ) actually induce wafer overlay. A possible explanation for this is that since cc-overlay is being measured before these processes, the induced overlay cannot be detected at cc-overlay measurement. To prove this theory, we measured the ccoverlay target before and after these processes. Figure 15 shows the overlay difference before and after these processes. There are several potential reasons for the process induced overlay, such as strain mismatch among films and substrate, different thermal expansions among films and substrate, or even non-perpendicular etching. After adding the process induced wafer scaling into account, we could achieve better correlation as shown in Figure 16. This process induced overlay can be overcome by applying intentional overlay error at reference layers as seen in Figure 17. (a) (b) (c) Figure 15: Overlay influence by non-litho process; overlay before the processes (a), overlay after the processes (b), grid correctable of the delta (c) Proc. of SPIE Vol A-8 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

9 Figure 16: Scaling difference measured overlay and calculated overlay Figure 17: Overlay measurement diagram (left), overlay control without intentional overlay error (middle), overlay control with intentional overlay error at relevant reference layer(right). SUMMAR The key finding from this study revealed that minimizing the modeled parameter differences between measurements is critical to achieving maximum entitlement for multi-level overlay control. The challenge posed by multi-layer overlay control, especially as it relates to DPL, was investigated in depth. The multilayer overlay challenges posed by the DPL wafers investigated showed significant overlay error that seemingly was not correctable. However, after an exhaustive study and with significant data collected and analyzed, clear root-cause for this problem was determined and experimentally confirmed. Moreover, practical solutions were provided and validated in the fab. Through all of this work, an important new capability to measure the image quality merit was effectively validated and applied on the wafer measurements to help unravel both the measurement and process induced overlay effects on the metrology target in order to finally achieve entitlement overlay control and performance. In summary, using the tools and techniques discussed in this study enabled the removal of all the relevant remaining systematic modeled errors initially found on this DPL process layer and will make a significant advancement to the understanding and capability to control DPL overlay to meet the 0nm process node. 4. Reference Proc. of SPIE Vol A-9 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

10 [1] Chan Hwang, Jeongjin Lee, Seungyoon Lee, Jeongho eo, eonghee Kim Hongmeng Lim and Dongsub Choi, Investigation on Accuracy of Process Overlay Measurement, Proc. SPIE 7971, (011) Proc. of SPIE Vol A-10 Downloaded from SPIE Digital Library on 10 Apr 01 to Terms of Use:

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Device Overlay Method for High Volume Manufacturing

Device Overlay Method for High Volume Manufacturing Device Overlay Method for High Volume Manufacturing Honggoo Lee a, Sangjun Han a, Youngsik Kim a, Myoungsoo Kim b, Hoyoung Heo c, Sanghuck Jeon c, DongSub Choi c, Jeremy Nabeth d, Irina Brinster d, Bill

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION Algorithm Implementation and Techniques for Providing More Reliable Overlay Measurements and Better Tracking of the Shallow Trench Isolation (STI) Process Doug Schramm a, Dale Bowles a, Martin Mastovich

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING Warren W. Flack, Robert Hsieh, Gareth Kenyon Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA wflack@ultratech.com John Slabbekoorn,

More information

Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist

Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist C. Guo and S.-Y. Lee a Department of Electrical and Computer Engineering, Auburn University,

More information

Improvement of the correlative AFM and ToF-SIMS approach using an empirical sputter model for 3D chemical characterization

Improvement of the correlative AFM and ToF-SIMS approach using an empirical sputter model for 3D chemical characterization Improvement of the correlative AFM and ToF-SIMS approach using an empirical sputter model for 3D chemical characterization T. Terlier 1, J. Lee 1, K. Lee 2, and Y. Lee 1 * 1 Advanced Analysis Center, Korea

More information

PROCEEDINGS OF SPIE. In cell OVL metrology by using optical metrology tool. Honggoo Lee, Sangjun Han, Minhyung Hong, Seungyoung Kim, Jieun Lee, et al.

PROCEEDINGS OF SPIE. In cell OVL metrology by using optical metrology tool. Honggoo Lee, Sangjun Han, Minhyung Hong, Seungyoung Kim, Jieun Lee, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie In cell OVL metrology by using optical metrology tool Honggoo Lee, Sangjun Han, Minhyung Hong, Seungyoung Kim, Jieun Lee, et al.

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Stack and topography verification as an enabler for computational metrology target design Michael E. Adel* a, Inna Tarshish-Shapir a, David Gready a, Mark Ghinovker a, Chen Dror a, Stephane Godny b a KLA-Tencor

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography Jin Choi 1, Kevin Nordquist 2, Ashuman Cherala 1, Lester Casoose 3, Kathy Gehoski 2, William J. Dauksher 2, S.V. Sreenivasan

More information

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY Background Statement for SEMI Draft Document 4274 New Standard TEST METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY Notice: This background

More information

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011 UBS Technology Conference Franki D Hoore - Director European Investor Relations London, March 10, / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Flatness Compensation Updates/Challenges

Flatness Compensation Updates/Challenges Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1 Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Notes on Photoshop s Defect in Simulation of Global Motion-Blurring

Notes on Photoshop s Defect in Simulation of Global Motion-Blurring Notes on Photoshop s Defect in Simulation of Global Motion-Blurring Li-Dong Cai Department of Computer Science, Jinan University Guangzhou 510632, CHINA ldcai@21cn.com ABSTRACT In restoration of global

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

Approaches to the Metrology Challenges for DSA Hole

Approaches to the Metrology Challenges for DSA Hole Approaches to the Metrology Challenges for DSA Hole Masafumi Asano, Kazuto Matsuki, Tomoko Ojima, Hiroki Yonemitsu, Ayako Kawanishi, Miwa Sato 2015 Toshiba Corporation Introduction Outline Metrology for

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Case Study: The Impact of VSB Fracturing

Case Study: The Impact of VSB Fracturing Case Study: The Impact of VSB Fracturing Brian Dillon a and Tim Norris b a Photronics Inc. 61 Millennium Dr. Allen, TX 7513 b MP Mask Technology Center, LLC 8 S. Federal Way Mail Stop 1 Boise, ID 83716

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Development of a tool for the easy determination of control factor interaction in the Design of Experiments and the Taguchi Methods

Development of a tool for the easy determination of control factor interaction in the Design of Experiments and the Taguchi Methods Development of a tool for the easy determination of control factor interaction in the Design of Experiments and the Taguchi Methods IKUO TANABE Department of Mechanical Engineering, Nagaoka University

More information

A Framework for Exploring the Interaction Between. Design Rules and Overlay Control

A Framework for Exploring the Interaction Between. Design Rules and Overlay Control A Framework for Exploring the Interaction Between Design Rules and Overlay Control Rani S. Ghaida, Mukul Gupta, and Puneet Gupta GLOBALFOUNDRIES Inc., Technology Enablement Division Univ. of California,

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing SEMATECH Workshop on 3D Interconnect Metrology Chris Lee July 11, 2012 Outline Introduction Motivation For New Metrology

More information

CMOS compatible highly efficient grating couplers with a stair-step blaze profile

CMOS compatible highly efficient grating couplers with a stair-step blaze profile CMOS compatible highly efficient grating couplers with a stair-step blaze profile Zhou Liang( ) a), Li Zhi-Yong( ) a), Hu Ying-Tao( ) a), Xiong Kang( ) a), Fan Zhong-Chao( ) b), Han Wei-Hua( ) b), Yu Yu-De

More information

ZEISS ForTune EUV Next Generation Wafer and Mask Tuning System

ZEISS ForTune EUV Next Generation Wafer and Mask Tuning System Product Information Interactive PDF internet-link video/animation Version 1.0 High performance Wafer and Mask Tuning system for EUV technology Applications & has been designed and built to support the

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Kevin Lensing* a, Jason Cain a, Amogh Prabhu a, Alok Vaid a, Robert Chong a, Richard Good a, Bruno LaFontaine b, and

More information

FST s status on EUV Pellicle & Inspection System Development

FST s status on EUV Pellicle & Inspection System Development FST s status on EUV Pellicle & Inspection System Development OCT.04, 2015 EUV Pellicle TWG @ Imec, nl. Donwon Park FST (Korea) http://www.fstc.co.kr FST Business Segments Division Pellicle TCU (Temperature

More information

Current Status and Future Outlook for Magnetic Recording Media

Current Status and Future Outlook for Magnetic Recording Media Current Status and Future Outlook for Magnetic Recording Media Souta Matsuo Yoshiaki Ito 1. Introduction With the commercialization of hard disk drives (HDDs) employing perpendicular magnetic recording

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Mode-Dependent Pixel-Based Weighted Intra Prediction for HEVC Scalable Extension

Mode-Dependent Pixel-Based Weighted Intra Prediction for HEVC Scalable Extension Mode-Dependent Pixel-Based Weighted Intra Prediction for HEVC Scalable Extension Tang Kha Duy Nguyen* a, Chun-Chi Chen a a Department of Computer Science, National Chiao Tung University, Taiwan ABSTRACT

More information

CERTIFICATE. United Microelectronics Corporation

CERTIFICATE. United Microelectronics Corporation CERTIFICATE This is to certify that Fab 8AB, Fab 8C, Fab 8D No. 3, Li-Hsin Road II, Science-Based Industrial Park has implemented and maintains a Quality Management System. Scope: The design of IP/Library

More information

CERTIFICATE. United Microelectronics Corporation

CERTIFICATE. United Microelectronics Corporation CERTIFICATE This is to certify that Fab 8AB, Fab 8C, Fab 8D No. 3, Li-Hsin Road II, Science-Based Industrial Park with the organizational units/sites as listed in the annex has implemented and maintains

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

Evaluation of Equipment Models of Clustered Photolithography Tools for Fab-level Simulation

Evaluation of Equipment Models of Clustered Photolithography Tools for Fab-level Simulation Evaluation of Equipment Models of Clustered Photolithography Tools for Fab-level Simulation Jung Yeon Park, James R. Morrison, and Kyungsu Park Department of Industrial and Systems Engineering KAIST, South

More information

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process Shr-Jia Chen* a, Yu-Cheng Chang a, Arthur Lin b, Yi-Shiang Chang a, Chia-Chi Lin a, Jun-Cheng Lai a a Powerchip Technology

More information

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS U.P.B. Sci. Bull., Series A, Vol. 77, Iss. 3, 2015 ISSN 1223-7027 NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS Bogdan Stefaniţă CALIN 1, Liliana PREDA 2 We have successfully designed a

More information

Improving OCD Time to Solution using Signal Response Metrology

Improving OCD Time to Solution using Signal Response Metrology Improving OCD Time to Solution using Signal Response Metrology Fang Fang a, Xiaoxiao Zhang a, Alok Vaid a, Stilian Pandev b, Dimitriy Sanko b, Vidya Ramanathan b, Kartik Venkataraman b, Ronny Haupt b a

More information

VISUAL QUALITY ASSESSMENT CHALLENGES FOR ARCHITECTURE DESIGN EXPLORATIONS. Wen-Fu Kao and Durgaprasad Bilagi. Intel Corporation Folsom, CA 95630

VISUAL QUALITY ASSESSMENT CHALLENGES FOR ARCHITECTURE DESIGN EXPLORATIONS. Wen-Fu Kao and Durgaprasad Bilagi. Intel Corporation Folsom, CA 95630 Proceedings of Seventh International Workshop on Video Processing and Quality Metrics for Consumer Electronics January 30-February 1, 2013, Scottsdale, Arizona VISUAL QUALITY ASSESSMENT CHALLENGES FOR

More information

Sampling Approaches to Metrology in Semiconductor Manufacturing

Sampling Approaches to Metrology in Semiconductor Manufacturing Sampling Approaches to Metrology in Semiconductor Manufacturing Tyrone Vincent 1 and Broc Stirton 2, Kameshwar Poolla 3 1 Colorado School of Mines, Golden CO 2 GLOBALFOUNDRIES, Austin TX 3 University of

More information

Cold- and hot-switching lifetime characterizations of ohmic-contact RF MEMS switches

Cold- and hot-switching lifetime characterizations of ohmic-contact RF MEMS switches Cold- and hot-switching lifetime characterizations of ohmic-contact RF MEMS switches Jong-Man Kim 1a), Sanghyo Lee 2, Chang-Wook Baek 3, Youngwoo Kwon 2, and Yong-Kweon Kim 2 1 Department of Nanosystem

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing Youngji Yoo, Seung Hwan Park, Daewoong An, Sung-Shick Shick Kim, Jun-Geol Baek Abstract The yield management

More information

Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning

Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning R1-16 SASIMI 2015 Proceedings Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning Takeshi Ihara and Atsushi Takahashi Chikaaki Kodama Department of Communications and Computer

More information

Measurement of Highly Parabolic Mirror using Computer Generated Hologram

Measurement of Highly Parabolic Mirror using Computer Generated Hologram Measurement of Highly Parabolic Mirror using Computer Generated Hologram Taehee Kim a, James H. Burge b, Yunwoo Lee c a Digital Media R&D Center, SAMSUNG Electronics Co., Ltd., Suwon city, Kyungki-do,

More information

Use of multiple azimuthal angles to enable advanced scatterometry applications

Use of multiple azimuthal angles to enable advanced scatterometry applications Use of multiple azimuthal angles to enable advanced scatterometry applications Matthew Sendelbach a, Alok Vaid b, Pedro Herrera c, Ted Dziura c, Michelle Zhang c, Arun Srivatsa c a IBM Corporation, 2070

More information

CERTIFICATE. United Microelectronics Corporation

CERTIFICATE. United Microelectronics Corporation CERTIFICATE This is to certify that FAB 8ABCD No. 3, Li-Hsin Road II, Science-Based Industrial Park has implemented and maintains a Quality Management System. Scope: The design of IP/Library and the associated

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

Determination of mask layer stress by placement metrology

Determination of mask layer stress by placement metrology Determination of mask layer stress by placement metrology Jörg Butschke a*, Ute Buttgereit b, Eric Cotte c, Günter Hess b, Mathias Irmscher a, Holger Seitz b a IMS Chips, Allmandring 30a, D-70569 Stuttgart,

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Optimized Watermarking Using Swarm-Based Bacterial Foraging

Optimized Watermarking Using Swarm-Based Bacterial Foraging Journal of Information Hiding and Multimedia Signal Processing c 2009 ISSN 2073-4212 Ubiquitous International Volume 1, Number 1, January 2010 Optimized Watermarking Using Swarm-Based Bacterial Foraging

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS Robert L. Hsieh, Detlef Fuchs, Warren W. Flack, and Manish Ranjan Ultratech Inc. San Jose, CA, USA mranjan@ultratech.com

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

In-situ metrology for pad surface monitoring in CMP

In-situ metrology for pad surface monitoring in CMP Application note In-situ metrology for pad surface monitoring in CMP The CMP process Chemical Mechanical Planarization (CMP) is one of the most critical processes in the semiconductor, hard disk and LED

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE Now See Deeper than ever before Review and inspection of non visible subsurface defects Non visible and subsurface

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Application of Tatian s Method to Slanted-Edge MTF Measurement

Application of Tatian s Method to Slanted-Edge MTF Measurement Application of s Method to Slanted-Edge MTF Measurement Peter D. Burns Eastman Kodak Company, Rochester, NY USA 465-95 ABSTRACT The 33 method for the measurement of the spatial frequency response () of

More information

Supporting Information

Supporting Information Supporting Information Chameleon-Inspired Mechanochromic Photonic Films Composed of Nonclose-Packed Colloidal Arrays Gun Ho Lee, Tae Min Choi, Bomi Kim, Sang Hoon Han, Jung Min Lee, and Shin-Hyun Kim *,

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography S.-Y. Lee and Kasi Anbumony Department of Electrical and Computer Engineering Auburn University, Auburn, AL 39 Fax: (33) -19,

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

System Scaling Opportunities for Future IT Systems

System Scaling Opportunities for Future IT Systems System Scaling Opportunities for Future IT Systems Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/24/2014 Data growth will drive the new IT model Dimensions of data growth Terabytes to exabytes

More information

Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements

Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements Grace Kessenich 1, Shweta Bhola 1, Baruch Pletner 1, Wesley Horth 1, Anette Hosoi 2

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group LER Degradation vs. EUV Resist Thickness Report for Technical Working Group Brian Cardineau, 1 William Earley, 1 Tomohisa Fujisawa, 2 Ken Maruyama, 3 Makato Shimizu, 2 Shalini Sharma, 3 Karen Petrillo,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information