Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Size: px
Start display at page:

Download "Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write"

Transcription

1 Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA (USA ABSTRACT Maskless electron beam lithography can potentially extend semiconductor manufacturing to the 0 nm logic (6 nm half pitch technology node and beyond. KLA-Tencor is developing Reflective Electron Beam Lithography (REBL technology targeting high-volume 0 nm logic performance. There are several potential applications for E-Beam Direct Write Lithography in high volume manufacturing (HVM Lithography. They range from writing full critical layers to the use as complementary lithography in order to write cut masks for multiple patterning optical lithography. Two of the potential applications for REBL with specific requirements on the writing strategy are contact layer and cut mask lithography. For these two applications the number of electrons writing a single feature can be a concern if the resist sensitivity is high and the process latitude is small. This paper will share calculations with respect to the needed and expected shot noise, dose and focus latitude performance of a proposed REBL lithography system. The simulated results will be compared to data taken on test structures. Predicted performance based on the simulations and test results of a potential REBL system for contact layers and cut mask applications will be discussed. Keywords: Ebeam, electron, electron beam, lithography, direct write, shot noise. INTRODUCTION Figure. Stochastic resist model Maskless electron beam lithography can potentially extend semiconductor manufacturing to the 0 nm logic (6 nm half pitch technology node and beyond. KLA-Tencor is developing Reflective Electron Beam Lithography (REBL technology targeting high volume manufacturing (HVM for 0 nm node logic performance,. There are several potential applications for E-Beam Direct Write Lithography in HVM Lithography. They range from writing Alternative Lithographic Technologies V, edited by William M. Tong, Douglas J. Resnick, Proc. of SPIE Vol. 8680, SPIE CCC code: X/3/$8 doi: 0.7/.0908 Proc. of SPIE Vol

2 full critical layers to complementary lithography cut masks that are used for multiple patterning in optical lithography. This paper will discuss the simulations using the REBL writing strategy to evaluate line width roughness (LWR and critical dimension uniformity (CDU at the 0nm node. Figure shows the different parameters that are taken into account. The simulations are then compared to experimental results to test the validity of the simulations.. DOSE ASSIGNMENT AND PATTERN RENDERING The dose assignment for each writing pixel is calculated using an internal KLA-Tencor computer program for edge placement accuracy called REBL-EDGE. First REBL-EDGE imports the pattern file from the GDSII and calculates the proximity effect that includes the resist, substrate and underlying processed layers. Electron scattering in materials can be calculated up to a 5 th order Gaussian fit. The REBL writing strategy uses a 5-bit or 3 level gray toning to handle edge placement and proximity effect correction,. The method to assign doses to each pixel in an exposure array is called rendering. Figure shows the rendered results for a 40nm line on a 00nm pitch pattern. The values in the pixels represent the relative dose of each pixel. Figure. Rendered results for a line and space pattern (40nm line on a 00nm pitch After the pattern has been rendered the dose values are used as the input to a Monte Carlo simulation. The Monte Carlo is handled in two parts. First, we calculate the positions within the resist where the electrons deposit energy. Second we calculate the probability of an electron releasing or generating an acid in a chemical amplified resist. The capture cross-section of the resist is determined by the beam energy, density and stoichiometry. Since, resists consist mainly of carbon, oxygen and nitrogen we used the parameters for PMMA to determine the probability of electron beam collisions within the resist. Once the acid distribution is determined from the Monte Carlo simulation the commercially available resist simulation program PROLITH 3 is used to simulate the acid diffusion in the resist and the resist development. 3. MODELING To evaluate the LWR and CDU four test patterns were used, shown in Figure 3. The test patterns consist of a dense array of 6nm half pitch (HP equal line and spaces, a 6nm isolated line, dense array of 0nm HP, contacts and a sparse array/isolated 0nm contact. The writing pixel pitch is 6.4nm, or.5 pixels per minimum feature size. The beam blur, 0% - 80% of the current distribution is 9.6nm or.5x the pixel pitch. The size of the dense contact pattern was made smaller than the line and space pattern because the memory required for the simulation exceeded the computer memory. The computer has 3GB or DRAM and TB of disk available for swap files. Proc. of SPIE Vol

3 Figure 3. The four test patterns consists of a dense array of 6nm (HP equal line and spaces, a 6nm isolated line, dense array of 0nm HP contacts and a sparse array/isolated 0nm contact. LWR and CDU were calculated from the nominal dose as determined by the REBL-EDGE algorithm for a 00keV beam written with the above mentioned writing strategy. Shot noise was added in analytically using a Gaussian distribution for the aerial image calculation. Figure 4 is shows the three sigma values as a function of the resist dose-to-clear. As expected, the less sensitive the resist (more current the smaller LWR and CDU is theoretically possible. These results are consistent with earlier work done on larger features 4. Figure 4. LWR/CDU (3σ from the rendered aerial image including shot noise vs. dose-to-clear 4. ANALYTICAL MODEL In order to check the simulation results an analytical model was used. To examine the effect of shot noise analytically, the electron beam intensity profile described following equation: ( ( σ Erf ( x σ ( x A Erf ( x x I = x The above equation assumes an iso-line pattern. In eq. (, the designed edge positions are at x=x, and x=x as shown in Figure 5 and σ is the standard Gaussian beam blur. From the intensity profile in equation (, it is Proc. of SPIE Vol

4 straightforward to compute normalized log slope: d ln dx ( I( x = π ( σ ( + x x exp ( x x σ ( Erf ( x x σ Erf ( x x σ ( x x exp ( x x Hence, NILS (Normalized Image Log Slope of electron beam intensity profile is: ( NILS d ln = w dx ( I( x = w π w exp ( w σ ( Erf ( σ x= x w (3 Here, w represents design width of a pattern. Next, the dose error will be estimated. At Xnm feature size using chemically amplified resist, the largest dose variation comes from electron shot noise. Shot noise per area is easily calculated from following equation: Dose Variation (3σ = 3 N N (4 Here, N is number of electron per unit area and the electron beam peak dose is D [µc/cm ]. For 5nm iso- CH with 50µC/cm dose-to-clear resist, with x times the peak exposure dose, the dose variation due to shot noise is 7.5% (3sigma. In the REBL tool, the pixel size is determined by electron OS xi 0 - Figure 5. An iso-line pattern is approximated by addition of two error-functions, one is positive and has center at x=x, the other is negative with center at x=x. optics demagnification from DPG surface to wafer plane. For 5nm patterning, pixel size at wafer plane is assumed to be around 6nm. To smooth out aliasing the assumed blur amount is about 0nm. With 0nm (0-80% edge slope, NILS will be.9. Therefore, CD variation due to shot noise will be: (Dose Variation/NILS/CD =.8nm (3 sigma. ( Dose Variation ( NILS ( LWR = CD For these two applications the number of electrons writing a single feature can be a concern if the resist sensitivity is high and the process latitude is small. (5 Proc. of SPIE Vol

5 Figure 6. LWR (3sigma for 0nm and 5nm iso-lines using the analytical model 5. COMPARISON OF MODELING AND EXPERIMENTS To validate the resist model and determine the parameters for PROLITH simulated exposures were compared to a printed line and space (L/S pattern in JSR ArF photoresist. The exposures were done on a Vistec VB6 ebeam lithography tool: beam energy 00keV, spot size 5nm, writing grid 0nm and a bias of 0nm. Nominal 50nm HP L/S pattern were written using a GDS 40nm feature on a 00nm pitch (0nm bias as a function of dose and quencher loading. CD measurements were measured from SEM images shown in Figure 4. The parameters in PROLITH were adjusted to get agreement at the nominal exposure values. To monitor the effect of dose and quencher loading LWR and LER were also measured. Once the control or nominal dose was used to determine the PROLITH parameters quencher and dose were varied to determine the accuracy of the model. Figure 7 & 8 shows the comparison between experiment and the simulation. The figures show reasonable agreement between experiment and theory around the optimum dose. Figure 7. Comparison between PROLITH simulation and Experiments Proc. of SPIE Vol

6 Figure 8. SEM images of L/S patterns in JSR ArF resist along with their corresponding PROLITH images. Proc. of SPIE Vol

7 6. SUMMARY AND CONCLUSION We simulated the proximity corrected dose profiles for 0nm contacts and 6nm L/S patterns using REBL-EDGE. The LWR calculations of the electron beam aerial image was calculated as a function of resist dose-to-clear. From this data we can conclude that printing features at the 0nm node is feasible using electron beams. Integrating the REBL-EDGE Monte Carlo with PROLITH stochastic acid simulator for chemically amplified resist showed reasonable agreement. Future work will concentrate on evaluating a second resist and include the effects of resist statistics. ACKNOWLEDGEMENTS We would like to acknowledge Mark Hart, Martha Sanchez and Greg Wallraff of IBM for the resist images and data We would also like to acknowledge Mark Smith at PROLITH for assistance with the modeling and program modifications. Lastly, we would like to thank A. Carroll, A. Trave and P. Petric for support on the REBL program. This work is supported by DARPA under contract HR The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter and should not be interpreted as representing the official views or policies, either expressed or implied, of the Defense Advanced Research Projects Agency or the Department of Defense. REFERENCES [] Petric, P., Bevis, C., McCord, M., Carroll, M., Brodie, A., Ummethala, U., Grella, L., Cheung, A. and Freed, A., New advances with REBL for maskless high-throughput EBDW lithography, Proc. SPIE 7970 (0 [] Petric, P., Bevis, C., Brodie, A., Carroll, A., Cheung, A., Grella, L., McCord, M, Percy, H., Standiford, K. and Zywno, M., REBL Nanowriter: Reflective Electron Beam Lithography, Proc. SPIE 77 (009 [3] Mack, C.A., Biafore, J.J. and Smith, M.D., Stochastic acid-based quenching in chemically amplified photoresists: a simulation study, Proc SPIE 797 (0 [4] Kruit, P., Steenbrink, S., Jager, R. and Wieland, M., Predicted effect of shot noise on contact hole dimension in e-beam lithography, JVST B (006 Proc. of SPIE Vol

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography by Rui Guo A dissertation submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

High Throughput Maskless Lithography

High Throughput Maskless Lithography High Throughput Maskless Lithography Sokudo lithography breakfast forum July 14 th 2010 Bert Jan Kampherbeek, VP Market Development and co-founder Agenda MAPPER s Objective MAPPER s Status MAPPER s Roadmap

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Pavel Nesladek Advanced Mask Technology Center, Rähnitzer Allee 9, 01109 Dresden, Germany 4 rd European DoE

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error Rui Guo, Soo-Young Lee, Jin Choi, Sung-Hoon Park, In-Kyun

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography S.-Y. Lee and Kasi Anbumony Department of Electrical and Computer Engineering Auburn University, Auburn, AL 39 Fax: (33) -19,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Dynamic Performance of DUV Step & Scan Systems and Process Latitude

Dynamic Performance of DUV Step & Scan Systems and Process Latitude Dynamic Performance of DUV Step & Scan Systems and Process Latitude Michel Klaassen, Marian Reuhman, Antoine Loock Mike Rademaker, Jack Gemen ASML, De Run 111, 553 LA Veldhoven, The Netherlands This paper

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

3D Holographic Lithography

3D Holographic Lithography 3D Holographic Lithography Luke Seed, Gavin Williams, Jesus Toriz-Garcia Department of Electronic and Electrical Engineering University of Sheffield Richard McWilliam, Alan Purvis, Richard Curry School

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Practical approach to full-field wavefront aberration measurement using phase wheel targets Practical approach to full-field wavefront aberration measurement using phase wheel targets Lena V. Zavyalova *a, Bruce W. Smith a, Anatoly Bourov a, Gary Zhang b, Venugopal Vellanki c, Patrick Reynolds

More information

Applications of DSA for lithography

Applications of DSA for lithography Applications of DSA for lithography Yoshi Hishiro, Takehiko Naruoka, JSR Micro Inc. Yuusuke Anno JSR Micro NV. Hayato Namai, Fumihiro Toyokawa, Shinya Minegishi, Yuuji Namie, Tomoki Nagai, Kentaro Goto,

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process Shr-Jia Chen* a, Yu-Cheng Chang a, Arthur Lin b, Yi-Shiang Chang a, Chia-Chi Lin a, Jun-Cheng Lai a a Powerchip Technology

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

DSA: How far have we come and how much further is left to go? Darron Jurajda

DSA: How far have we come and how much further is left to go? Darron Jurajda DSA: How far have we come and how much further is left to go? Darron Jurajda Semiconductor Business Unit Director, Brewer Science Outline Background of DSA at Brewer Science DSA historical progress Hype

More information

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Peng Yu a, David Z. Pan a and Chris A. Mack a,b a Electrical and Computer Engineering Department, University of Texas

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

SuMMIT Application Notes:

SuMMIT Application Notes: SuMMIT Application Notes: #2 Tools for large data sets EUV Technology, SuMMIT Software Division Large data sets support high precision SuMMIT allows for batch processing of large data sets for such studies

More information

Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA

Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA Copyright 3 SPIE Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA ABSTRACT Image texture is the term given to the information-bearing fluctuations

More information

True Three-Dimensional Proximity Effect Correction in Electron-beam Lithography: Control of Critical Dimension and Sidewall Shape.

True Three-Dimensional Proximity Effect Correction in Electron-beam Lithography: Control of Critical Dimension and Sidewall Shape. True Three-Dimensional Proximity Effect Correction in Electron-beam Lithography: Control of Critical Dimension and Sidewall Shape by Qing Dai A dissertation submitted to the Graduate Faculty of Auburn

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research SCANNING VOL. 24, 179 185 (2002) Received: October 30, 2001 FAMS, Inc. Accepted with revision: February 15, 2002 Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands This paper was presented at the SPIE microlithography symposium

More information

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY Ulrich Hofmann, Daniel Ritter, Balint Meliorisz, Nezih Unal GenISys GmbH Germany Dr. Michael Hornung, Ralph Zoberbier SUSS MicroTec Lithography GmbH Germany

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group LER Degradation vs. EUV Resist Thickness Report for Technical Working Group Brian Cardineau, 1 William Earley, 1 Tomohisa Fujisawa, 2 Ken Maruyama, 3 Makato Shimizu, 2 Shalini Sharma, 3 Karen Petrillo,

More information

Optimization of optical systems for LED spot lights concerning the color uniformity

Optimization of optical systems for LED spot lights concerning the color uniformity Optimization of optical systems for LED spot lights concerning the color uniformity Anne Teupner* a, Krister Bergenek b, Ralph Wirth b, Juan C. Miñano a, Pablo Benítez a a Technical University of Madrid,

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer RIT Scholar Works Presentations and other scholarship 5-28-2004 Immersion Microlithography at 193 nm with a Talbot Prism Interferometer Anatoly Bourov Yongfa Fan Frank Cropanese Neal Lafferty Lena V. Zavyalova

More information

Electron- and Laser-Beam Lithography Software

Electron- and Laser-Beam Lithography Software Electron- and Laser-Beam Lithography Software Optimum productivity, quality and innovation by advanced data preparation for electron- and laser-beam lithography systems 2 8 Electron- and Laser-beam Lithography

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography Jin Choi 1, Kevin Nordquist 2, Ashuman Cherala 1, Lester Casoose 3, Kathy Gehoski 2, William J. Dauksher 2, S.V. Sreenivasan

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Pattern- Match NDE SDK (API) NDE Core DP Environment DB DB. NDE Virtual DB File System. Fracture MRC. Mask rule check. Select. Pattern-Match SCRD PEC

Pattern- Match NDE SDK (API) NDE Core DP Environment DB DB. NDE Virtual DB File System. Fracture MRC. Mask rule check. Select. Pattern-Match SCRD PEC NDE-MDP Product number: w1810 Nippon Control System Total solution for mask data preparation (MDP) NDE (NCS Distributed Environment) is a distributed processing software package running on a conventional

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Lossless Compression Algorithm for REBL Direct-Write E-Beam Lithography System

Lossless Compression Algorithm for REBL Direct-Write E-Beam Lithography System Lossless Compression Algorithm for REBL Direct-Write E-Beam Lithography System George Cramer, Hsin-I Liu, and Avideh Zakhor Dept. of Electrical Engineering and Computer Sciences, University of California,

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

3D Detector Simulation with Synopsys TCAD

3D Detector Simulation with Synopsys TCAD Journée de la simulation 17/6/2013 3D Detector Simulation with Synopsys TCAD V. Gkougkousis1,2, A. Lounis 1,2, N. Dinu 1, A. Bassalat 1,3 1. Laboratoire de L'accélérateur Linéaire 2. Université Paris-SUD

More information

Optical Lithography Modelling with MATLAB

Optical Lithography Modelling with MATLAB Optical Lithography Modelling with MATLAB 2 Laboratory Manual to accompany Fundamental Principles of Optical Lithography, by Chris Mack 2 Optical Lithography Modelling with MATLAB Kevin Berwick Optical

More information

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr. A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541 Abstract In the semiconductor manufacturing industry,

More information

Flexible Packed Stencil Design with Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography

Flexible Packed Stencil Design with Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography 1 Flexible Packed Stencil Design with Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography Chris Chu, Fellow, IEEE and Wai-Kei Mak, Member, IEEE Abstract Electron-beam lithography has

More information

Process Variation Aware OPC with Variational Lithography Modeling

Process Variation Aware OPC with Variational Lithography Modeling Process Variation Aware OPC with Variational Lithography Modeling Peng Yu ECE Department University of Texas at Austin Austin, TX 78712 yupeng@cerc.utexas.edu Sean X. Shi ECE Department University of Texas

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

LED holographic imaging by spatial-domain diffraction computation of. textured models

LED holographic imaging by spatial-domain diffraction computation of. textured models LED holographic imaging by spatial-domain diffraction computation of textured models Ding-Chen Chen, Xiao-Ning Pang, Yi-Cong Ding, Yi-Gui Chen, and Jian-Wen Dong* School of Physics and Engineering, and

More information