Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Size: px
Start display at page:

Download "Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing"

Transcription

1 Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Pavel Nesladek Advanced Mask Technology Center, Rähnitzer Allee 9, Dresden, Germany 4 rd European DoE user meeting, Vienna, June 27 th -28 th

2 Outline Introduction Critical Dimension Uniformity (CDU) optimization at dry etch process Iso-dense bias pattern generator Particle Removal Efficiency mask cleaning Summary 2

3 Introduction Current high end Photomask is manufactured of 6x6x0.25 substrate covered by absorber or phase shift layer. Measurement sites Border Critical dimension (CD) Chip area 3

4 Introduction CD is measured at predefined sites CD variation is evaluated and most frequently expressed as 3s y CDU bubble plot CDU 3s= 5.8 ; range= 9.1 ; CDmean= nm x Y Surface / topo plot interpolated

5 Introduction CD is measured at predefined sites CD variation is evaluated and most frequently expressed as 3s CD histogram CD kernel density Frequency Density s mean 3s CD [nm] CD [nm] 5

6 CDU optimization - design 3 factors fullfactorial design Factors A B 1-9 C 1-9 Response CDU 11 Runs 6

7 CDU optimization - ANOVA DESIGN-EXPERT Plot CDU3 Half Normal plot A: A B: B C: C Half Normal % probability A C B AC 20 0 ANOVA result Effect Analysis of variance table [Partial sum of squares] Sum of Mean F Source Squares DF Square Value Prob > F Model significant A 2.053E E B C 4.855E E AC Curvature < significant Residual 7.488E E-003 Lack of Fit 5.635E E

8 CDU optimization - model Model significant but not correct due to strong curvature. DESIGN-EXPERT Plot CDU 3s X = A: A Y = C: C Design Points C C Actual Factor B: B = 5.00 CDU 3s Interaction Graph C: C DESIGN-EXPERT Plot CDU 3s X = A: A Y = C: C Actual Factor B: B = CDU 3s C: C A: A A: A Solutions A Extend design to central composite B look for other / modified response 8

9 CDU optimization curvature solution 1 Solution 1 - Extend design to central composite 3 factors Central composite design Factors A B 1-9 C 1-9 Response CDU C 2 Blocks Block 1 Runs Block 2 Runs Center points A B 9

10 CDU optimization curvature solution 1 Solution 1 - Extend design to central composite 3 factors Central composite design Factors A B 1-9 C 1-9 Response CDU 2 Blocks Block 1-11 Runs Block 2-8 Runs 10

11 CDU optimization curvature solution 1 ANOVA result Analysis of variance table [Partial sum of squares] Sum of Mean F Source Squares DF Square Value Prob > F Block Model < significant A C C < Residual Lack of Fit E not significant Pure Error Cor Total

12 CDU optimization curvature solution 1 Central composite model describes more appropriate experimental data. Design extended by second block DESIGN-EXPERT Plot CDU 3s Design Points 9.00 CDU 3s DESIGN-EXPERT Plot CDU 3s One Factor Plot X = C: C Y = A: A Actual Factor B: B = 5.00 A: A X = C: C Design Points Actual Factors A: A = 5.00 B: B = 5.00 CDU 3s C: C C: C 12

13 CDU optimization curvature solution 2 Solution 2 - look for other / modified response Convex CDU footprint - Concave CDU footprint + CDU with sign

14 CDU optimization curvature solution 2 Solution 2 look for other / modified response Modified CDU response 3FF DoE ANOVA result Analysis of variance table [Partial sum of squares] Sum of Mean F Source Squares DF Square Value Prob > F Model < significant C < Curvature < significant Residual Lack of Fit not significant Pure Error Cor Total

15 CDU optimization curvature solution 2 Solution 2 look for other / modified response Concave CDU positive Convex CDU negative Concave CDU negative Convex CDU positive DESIGN-EXPERT Plot One Factor Plot DESIGN-EXPERT Plot One Factor Plot CDU 3s CDU 3s X = C: C X = C: C Design Points Design Points Actual Factors A: A = 5.00 B: B = 5.00 CDU 3s Actual Factors A: A = 5.00 B: B = 5.00 CDU 3s C: C Model predicts minimum CDU at C = 4.85 Optimum predicted on interpolation of center points is rather 6 C: C 15

16 CDU optimization What is the CDU footprint of center point? Convex or concave? concave convex split convex + concave CDU [nm] CDU [nm] CDU [nm] Plot C Normal Plot of Residuals Plot Normal Plot of Residuals C P l o t N o r m a l P l o t o f R e s i d u a l s C Normal % Probability Normal % Probability Normal % Probability Studentized Residuals Studentized Residuals S t u d e n t i z e d R e s i d u a l s Solution 3 fit of CDU components radial + linear or PCA components fit as work around. May contribute minor CDU improvement. 16

17 CDU optimization - summary CDU result summary Optimum conditions & CDU prediction Raw data Central composite design Modified CDU response Model / A,C,AC A;C;C 2 C conditions A=90; B=9; C=9 A=9; C=4.85 C = 4.85 Curvature Yes NA Yes p < < CDU [nm] predicted CDU [nm] expected Modified response results in same process as central composite design with less effort and material invested. CDU footprint of center points can be estimated using surface fits leading to several CDU component responses. 17

18 Iso-dense bias - introduction Iso- dense bias CD difference between isolated features (A) and nominally same feature in a dense field (C). A<B<C<D A Iso-dense bias is manifestation of proximity effect similar to through pitch CD A>B>C>D or fogging effect. A B C D Fogging effect can be compensated By combination of 2 pattern generator Settings dose, PEC. iso-dense bias is optimized for both Contrasts clear + dark B C D Proximity effect pattern Through pitch pattern 18

19 Iso-dense bias - introduction Iso-dense bias optimization is performed as matrix or PEC pattern written at different dose-pec combination on one mask. PEC Dose 19

20 Iso-dense bias - design Iso-dense Bias experiment is evaluated as historical data DoE with 80 data points, Factors Dose PEC Responses ID-dark bias ID-clear bias (iso-dense biases for clear and dark features) 8 Dose levels 10 PEC levels 20

21 Iso-dense bias - design Iso-dense Bias placement vs. factor space placement on the mask PEC/dose dose PEC 21

22 Iso-dense bias - ANOVA ANOVA result Iso-dense bias clear features Analysis of variance table [Partial sum of squares] Source Sum of Squares DF Mean Square F Value Prob > F Model < significant A-dose < B-PEC < AB < A^ B^ < A^2B AB^ A^ B^ A^2B^ A^3B A^ A^3B^ A^4B Residual Cor Total Model for ID-dark has significant terms up to A^6, B^4 22

23 Iso-dense bias - diagnostics Normality check fails for runs at extreme conditions. Leverage mirrors the mask designs Normal Plot of Residuals 1.00 Leverage vs. Run 99 Normal % Probability Leverage Internally Studentized Residuals Run Number 23

24 Iso-dense bias - models Model for ID-clear and ID-dark similar. Both will be optimized for target 0±1nm ID-CL ID-DK A: dose A: dose B: PEC B: PEC 24

25 Iso-dense bias - Graphical optimization Models are strongly no linear, especially the iso-dense bias model for dark features is questionable Overlay Plot A: dose ID-DK: -1 ID-DK: 1 ID-CL: -1 ID-CL: B: PEC 25

26 Iso-dense bias simplified run set runs at PEC = 0 removed not expected process window, acquired for comparisson between different resists only A: dose B: PEC 26

27 Iso-dense bias simplified ANOVA ANOVA result Iso-dense bias clear features without PEC=0 Analysis of variance table [Partial sum of squares] Sum of Mean F Source Squares DF Square Value Prob > F Model < significant A-dose < B-PEC < A^ < A^ Residual Cor Total

28 Iso-dense bias simplified models Models for both ID-clear and ID-dark become optically very similar ID-CL ID-DK A: dose A: dose B: PEC B: PEC 28

29 Iso-dense bias simplified optimization Process window does not changes significantly at optimum conditions; model becomes simple and easier to interpret Overlay Plot A: dose ID-CL: -1 ID-CL: 1 ID-DK: -1 ID-DK: B: PEC 29

30 Particle Removal Efficiency Particle Removal Efficiency (PRE) quantifies the cleaning efficiency of a mask cleaning process. PRE is used as measure for comparison of cleaning processes and tools PRE evaluation PRE ( Ncoat N N Fresh mask contaminated mask cleaned mask coat post ) Dedicated contamination Test cleaning 30

31 Particle Removal Efficiency Cleaning tool schematics r d w d d r a d c a w a j max 31

32 Particle Removal Efficiency - design 2 Factor central composite design Factors Mask chuck speed Arm swing speed (expressed as time needed fro arm swing between reversal points) Response PRE 32

33 PRE2 all total arm speed chuck speed 33

34 Particle Removal Efficiency - ANOVA Analysis of variance table [Partial sum of squares] Sum of Mean F Source Squares DF Square Value Prob > F Model significant A B A B AB E A2B AB Residual Lack of Fit not significant Pure Error Cor Total

35 Particle Removal Efficiency Model failures D E S I G N - E X P E R T P lo t P R E 2 a ll t o t a l N o r m a l P lo t o f R e s id u a ls Normality check of residuals points towards overestimated model Outlier T test identifies 8 runs over 3s Normal % Probability S t u d e n t i z e d R e si d u a l s Nevertheless no better model is available today. Reason missing factor? - possibly the cleaning power coverage 35

36 Particle Removal Efficiency Coverage? What is that? Combination of arm speed and chuck speed form pattern on the mask which is covering more or less uniformly the mask Identical arm swing speed and chuck speed of, reversal point delay 0.181s 0.233s 36

37 Summary CDU optimization CDU optimization of radial pattern performed via Central composite design and full factorial design with modified response provides almost same result. Minor improvement paid by ~ 70% raised costs and rougly doubled experiment time. Iso dense bias optimization Restriction of historical data feeded into DoE analysis simplifies the model PRE investigation Some models are wrong, (but still usable till better model is found) 37

38 Acknowledgement AMTC is a joint venture of GLOBALFOUNDRIES and Toppan Photomasks and gratefully acknowledges the financial support by the German Federal Ministry of Education and Research (BMBF) 38

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

CHAPTER 3 AN OVERVIEW OF DESIGN OF EXPERIMENTS AND RESPONSE SURFACE METHODOLOGY

CHAPTER 3 AN OVERVIEW OF DESIGN OF EXPERIMENTS AND RESPONSE SURFACE METHODOLOGY 23 CHAPTER 3 AN OVERVIEW OF DESIGN OF EXPERIMENTS AND RESPONSE SURFACE METHODOLOGY 3.1 DESIGN OF EXPERIMENTS Design of experiments is a systematic approach for investigation of a system or process. A series

More information

Vector Xpression 3. Speed Tutorial: III. Creating a Script for Automating Normalization of Data

Vector Xpression 3. Speed Tutorial: III. Creating a Script for Automating Normalization of Data Vector Xpression 3 Speed Tutorial: III. Creating a Script for Automating Normalization of Data Table of Contents Table of Contents...1 Important: Please Read...1 Opening Data in Raw Data Viewer...2 Creating

More information

CHAPTER 5 SINGLE OBJECTIVE OPTIMIZATION OF SURFACE ROUGHNESS IN TURNING OPERATION OF AISI 1045 STEEL THROUGH TAGUCHI S METHOD

CHAPTER 5 SINGLE OBJECTIVE OPTIMIZATION OF SURFACE ROUGHNESS IN TURNING OPERATION OF AISI 1045 STEEL THROUGH TAGUCHI S METHOD CHAPTER 5 SINGLE OBJECTIVE OPTIMIZATION OF SURFACE ROUGHNESS IN TURNING OPERATION OF AISI 1045 STEEL THROUGH TAGUCHI S METHOD In the present machine edge, surface roughness on the job is one of the primary

More information

Section 4 General Factorial Tutorials

Section 4 General Factorial Tutorials Section 4 General Factorial Tutorials General Factorial Part One: Categorical Introduction Design-Ease software version 6 offers a General Factorial option on the Factorial tab. If you completed the One

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Flatness Compensation Updates/Challenges

Flatness Compensation Updates/Challenges Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1 Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary

More information

EVALUATION OF OPTIMAL MACHINING PARAMETERS OF NICROFER C263 ALLOY USING RESPONSE SURFACE METHODOLOGY WHILE TURNING ON CNC LATHE MACHINE

EVALUATION OF OPTIMAL MACHINING PARAMETERS OF NICROFER C263 ALLOY USING RESPONSE SURFACE METHODOLOGY WHILE TURNING ON CNC LATHE MACHINE EVALUATION OF OPTIMAL MACHINING PARAMETERS OF NICROFER C263 ALLOY USING RESPONSE SURFACE METHODOLOGY WHILE TURNING ON CNC LATHE MACHINE MOHAMMED WASIF.G 1 & MIR SAFIULLA 2 1,2 Dept of Mechanical Engg.

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

General Multilevel-Categoric Factorial Tutorial

General Multilevel-Categoric Factorial Tutorial DX10-02-3-Gen2Factor.docx Rev. 1/27/2016 General Multilevel-Categoric Factorial Tutorial Part 1 Categoric Treatment Introduction A Case Study on Battery Life Design-Expert software version 10 offers a

More information

General Factorial Models

General Factorial Models In Chapter 8 in Oehlert STAT:5201 Week 9 - Lecture 2 1 / 34 It is possible to have many factors in a factorial experiment. In DDD we saw an example of a 3-factor study with ball size, height, and surface

More information

SELECTION OF A MULTIVARIATE CALIBRATION METHOD

SELECTION OF A MULTIVARIATE CALIBRATION METHOD SELECTION OF A MULTIVARIATE CALIBRATION METHOD 0. Aim of this document Different types of multivariate calibration methods are available. The aim of this document is to help the user select the proper

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Exciting New Features in Design-Expert V11 and Beyond..

Exciting New Features in Design-Expert V11 and Beyond.. Exciting New Features in Design-Expert V11 and Beyond.. Presented by Pat Whitcomb Founder and Principle Stat-Ease, Inc., Minneapolis, MN pat@statease.com Stat-Ease European User Conference 2018 1 What

More information

THE L.L. THURSTONE PSYCHOMETRIC LABORATORY UNIVERSITY OF NORTH CAROLINA. Forrest W. Young & Carla M. Bann

THE L.L. THURSTONE PSYCHOMETRIC LABORATORY UNIVERSITY OF NORTH CAROLINA. Forrest W. Young & Carla M. Bann Forrest W. Young & Carla M. Bann THE L.L. THURSTONE PSYCHOMETRIC LABORATORY UNIVERSITY OF NORTH CAROLINA CB 3270 DAVIE HALL, CHAPEL HILL N.C., USA 27599-3270 VISUAL STATISTICS PROJECT WWW.VISUALSTATS.ORG

More information

QstatLab: software for statistical process control and robust engineering

QstatLab: software for statistical process control and robust engineering QstatLab: software for statistical process control and robust engineering I.N.Vuchkov Iniversity of Chemical Technology and Metallurgy 1756 Sofia, Bulgaria qstat@dir.bg Abstract A software for quality

More information

Optimization of process parameters in CNC milling for machining P20 steel using NSGA-II

Optimization of process parameters in CNC milling for machining P20 steel using NSGA-II IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684,p-ISSN: 2320-334X, Volume 14, Issue 3 Ver. V. (May - June 2017), PP 57-63 www.iosrjournals.org Optimization of process parameters

More information

2016 Stat-Ease, Inc. & CAMO Software

2016 Stat-Ease, Inc. & CAMO Software Multivariate Analysis and Design of Experiments in practice using The Unscrambler X Frank Westad CAMO Software fw@camo.com Pat Whitcomb Stat-Ease pat@statease.com Agenda Goal: Part 1: Part 2: Show how

More information

Sampling Approaches to Metrology in Semiconductor Manufacturing

Sampling Approaches to Metrology in Semiconductor Manufacturing Sampling Approaches to Metrology in Semiconductor Manufacturing Tyrone Vincent 1 and Broc Stirton 2, Kameshwar Poolla 3 1 Colorado School of Mines, Golden CO 2 GLOBALFOUNDRIES, Austin TX 3 University of

More information

Determination of mask layer stress by placement metrology

Determination of mask layer stress by placement metrology Determination of mask layer stress by placement metrology Jörg Butschke a*, Ute Buttgereit b, Eric Cotte c, Günter Hess b, Mathias Irmscher a, Holger Seitz b a IMS Chips, Allmandring 30a, D-70569 Stuttgart,

More information

Investigating the influence of local fiber architecture in textile composites by the help of a mapping tool

Investigating the influence of local fiber architecture in textile composites by the help of a mapping tool Investigating the influence of local fiber architecture in textile composites by the help of a mapping tool M. Vinot 1, Martin Holzapfel 1, Christian Liebold 2 1 Institute of Structures and Design, German

More information

Coping with Variability in Semiconductor Manufacturing

Coping with Variability in Semiconductor Manufacturing 1 Coping with Variability in Semiconductor Manufacturing Costas J. Spanos Berkeley Computer Aided Manufacturing Department of EECS University of California, Berkeley 12/6/04 2 The Traditional Semiconductor

More information

Kernel Density Estimation (KDE)

Kernel Density Estimation (KDE) Kernel Density Estimation (KDE) Previously, we ve seen how to use the histogram method to infer the probability density function (PDF) of a random variable (population) using a finite data sample. In this

More information

Design and Analysis of Experiments Prof. Jhareswar Maiti Department of Industrial and Systems Engineering Indian Institute of Technology, Kharagpur

Design and Analysis of Experiments Prof. Jhareswar Maiti Department of Industrial and Systems Engineering Indian Institute of Technology, Kharagpur Design and Analysis of Experiments Prof. Jhareswar Maiti Department of Industrial and Systems Engineering Indian Institute of Technology, Kharagpur Lecture 59 Fractional Factorial Design using MINITAB

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Parallel line analysis and relative potency in SoftMax Pro 7 Software

Parallel line analysis and relative potency in SoftMax Pro 7 Software APPLICATION NOTE Parallel line analysis and relative potency in SoftMax Pro 7 Software Introduction Biological assays are frequently analyzed with the help of parallel line analysis (PLA). PLA is commonly

More information

The Mask Maker Survey 2017

The Mask Maker Survey 2017 The Mask Maker Survey 2017 2015: Members requested the ebeam Initiative to restart the survey A few questions and 8 participating mask makers: AMTC, DNP, GLOBALFOUNDRIES, HOYA, Photronics, Samsung, SMIC

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

RSM Split-Plot Designs & Diagnostics Solve Real-World Problems

RSM Split-Plot Designs & Diagnostics Solve Real-World Problems RSM Split-Plot Designs & Diagnostics Solve Real-World Problems Shari Kraber Pat Whitcomb Martin Bezener Stat-Ease, Inc. Stat-Ease, Inc. Stat-Ease, Inc. 221 E. Hennepin Ave. 221 E. Hennepin Ave. 221 E.

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

One Factor Experiments

One Factor Experiments One Factor Experiments 20-1 Overview Computation of Effects Estimating Experimental Errors Allocation of Variation ANOVA Table and F-Test Visual Diagnostic Tests Confidence Intervals For Effects Unequal

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Stat 5303 (Oehlert): Response Surfaces 1

Stat 5303 (Oehlert): Response Surfaces 1 Stat 5303 (Oehlert): Response Surfaces 1 > data

More information

Multi-Factored Experiments

Multi-Factored Experiments Design and Analysis of Multi-Factored Experiments Advanced Designs -Hard to Change Factors- Split-Plot Design and Analysis L. M. Lye DOE Course 1 Hard-to-Change Factors Assume that a factor can be varied,

More information

Averages and Variation

Averages and Variation Averages and Variation 3 Copyright Cengage Learning. All rights reserved. 3.1-1 Section 3.1 Measures of Central Tendency: Mode, Median, and Mean Copyright Cengage Learning. All rights reserved. 3.1-2 Focus

More information

Computer Experiments: Space Filling Design and Gaussian Process Modeling

Computer Experiments: Space Filling Design and Gaussian Process Modeling Computer Experiments: Space Filling Design and Gaussian Process Modeling Best Practice Authored by: Cory Natoli Sarah Burke, Ph.D. 30 March 2018 The goal of the STAT COE is to assist in developing rigorous,

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Statistical Pattern Recognition

Statistical Pattern Recognition Statistical Pattern Recognition Features and Feature Selection Hamid R. Rabiee Jafar Muhammadi Spring 2012 http://ce.sharif.edu/courses/90-91/2/ce725-1/ Agenda Features and Patterns The Curse of Size and

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

DESIGN OF EXPERIMENTS and ROBUST DESIGN

DESIGN OF EXPERIMENTS and ROBUST DESIGN DESIGN OF EXPERIMENTS and ROBUST DESIGN Problems in design and production environments often require experiments to find a solution. Design of experiments are a collection of statistical methods that,

More information

Analysis of Two-Level Designs

Analysis of Two-Level Designs Chapter 213 Analysis of Two-Level Designs Introduction Several analysis programs are provided for the analysis of designed experiments. The GLM-ANOVA and the Multiple Regression programs are often used.

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Table of Contents (As covered from textbook)

Table of Contents (As covered from textbook) Table of Contents (As covered from textbook) Ch 1 Data and Decisions Ch 2 Displaying and Describing Categorical Data Ch 3 Displaying and Describing Quantitative Data Ch 4 Correlation and Linear Regression

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Recall the expression for the minimum significant difference (w) used in the Tukey fixed-range method for means separation:

Recall the expression for the minimum significant difference (w) used in the Tukey fixed-range method for means separation: Topic 11. Unbalanced Designs [ST&D section 9.6, page 219; chapter 18] 11.1 Definition of missing data Accidents often result in loss of data. Crops are destroyed in some plots, plants and animals die,

More information

Basic Concepts Weka Workbench and its terminology

Basic Concepts Weka Workbench and its terminology Changelog: 14 Oct, 30 Oct Basic Concepts Weka Workbench and its terminology Lecture Part Outline Concepts, instances, attributes How to prepare the input: ARFF, attributes, missing values, getting to know

More information

SAS data statements and data: /*Factor A: angle Factor B: geometry Factor C: speed*/

SAS data statements and data: /*Factor A: angle Factor B: geometry Factor C: speed*/ STAT:5201 Applied Statistic II (Factorial with 3 factors as 2 3 design) Three-way ANOVA (Factorial with three factors) with replication Factor A: angle (low=0/high=1) Factor B: geometry (shape A=0/shape

More information

PREDICTING CLUSTER TOOL BEHAVIOR WITH SLOW DOWN FACTORS. Robert Unbehaun Oliver Rose

PREDICTING CLUSTER TOOL BEHAVIOR WITH SLOW DOWN FACTORS. Robert Unbehaun Oliver Rose Proceedings of the 27 Winter Simulation Conference S. G. Henderson, B. Biller, M.-H. Hsieh, J. Shortle, J. D. Tew, and R. R. Barton, eds. PREDICTING CLUSTER TOOL BEHAVIOR WITH SLOW DOWN FACTORS Robert

More information

Using Mean Shift Algorithm in the Recognition of Industrial Data Matrix Codes

Using Mean Shift Algorithm in the Recognition of Industrial Data Matrix Codes Using Mean Shift Algorithm in the Recognition of Industrial Data Matrix Codes ION-COSMIN DITA, VASILE GUI, MARIUS OTESTEANU Politehnica University of Timisoara Faculty of Electronics and Telecommunications

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Logical operators: R provides an extensive list of logical operators. These include

Logical operators: R provides an extensive list of logical operators. These include meat.r: Explanation of code Goals of code: Analyzing a subset of data Creating data frames with specified X values Calculating confidence and prediction intervals Lists and matrices Only printing a few

More information

Stat 5303 (Oehlert): Unreplicated 2-Series Factorials 1

Stat 5303 (Oehlert): Unreplicated 2-Series Factorials 1 Stat 5303 (Oehlert): Unreplicated 2-Series Factorials 1 Cmd> a

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Box-Cox Transformation for Simple Linear Regression

Box-Cox Transformation for Simple Linear Regression Chapter 192 Box-Cox Transformation for Simple Linear Regression Introduction This procedure finds the appropriate Box-Cox power transformation (1964) for a dataset containing a pair of variables that are

More information

Key Words: DOE, ANOVA, RSM, MINITAB 14.

Key Words: DOE, ANOVA, RSM, MINITAB 14. ISO 9:28 Certified Volume 4, Issue 4, October 24 Experimental Analysis of the Effect of Process Parameters on Surface Finish in Radial Drilling Process Dayal Saran P BalaRaju J Associate Professor, Department

More information

Operating Instructions

Operating Instructions Operating Instructions Measurement of Liquid Viscosity The viscosity is measured using the Brookfield Viscometer (see Figure 1a) (a) (b) Figure 1. (a) Top view of the Brookfield Viscometer. The viscosity

More information

Statistical Pattern Recognition

Statistical Pattern Recognition Statistical Pattern Recognition Features and Feature Selection Hamid R. Rabiee Jafar Muhammadi Spring 2013 http://ce.sharif.edu/courses/91-92/2/ce725-1/ Agenda Features and Patterns The Curse of Size and

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Quality Guided Image Denoising for Low-Cost Fundus Imaging

Quality Guided Image Denoising for Low-Cost Fundus Imaging Quality Guided Image Denoising for Low-Cost Fundus Imaging Thomas Köhler1,2, Joachim Hornegger1,2, Markus Mayer1,2, Georg Michelson2,3 20.03.2012 1 Pattern Recognition Lab, Ophthalmic Imaging Group 2 Erlangen

More information

Multivariate Calibration Quick Guide

Multivariate Calibration Quick Guide Last Updated: 06.06.2007 Table Of Contents 1. HOW TO CREATE CALIBRATION MODELS...1 1.1. Introduction into Multivariate Calibration Modelling... 1 1.1.1. Preparing Data... 1 1.2. Step 1: Calibration Wizard

More information

Multiple Regression White paper

Multiple Regression White paper +44 (0) 333 666 7366 Multiple Regression White paper A tool to determine the impact in analysing the effectiveness of advertising spend. Multiple Regression In order to establish if the advertising mechanisms

More information

1 INTRODUCTION. Solder paste deposits on grid array of soldering pads. SPI system integration in a PCB assembly production line

1 INTRODUCTION. Solder paste deposits on grid array of soldering pads. SPI system integration in a PCB assembly production line 1 INTRODUCTION Test Research Inc. (TRI) designs, manufactures and markets precision test equipment for the world s leading electronics manufacturing service (EMS) companies. Product lines include Automated

More information

2014 Stat-Ease, Inc. All Rights Reserved.

2014 Stat-Ease, Inc. All Rights Reserved. What s New in Design-Expert version 9 Factorial split plots (Two-Level, Multilevel, Optimal) Definitive Screening and Single Factor designs Journal Feature Design layout Graph Columns Design Evaluation

More information

Introduction to High Volume Testing with Part Tracking in Akrometrix Studio 6.0

Introduction to High Volume Testing with Part Tracking in Akrometrix Studio 6.0 Introduction to High Volume Testing with Part Tracking in Akrometrix Studio 6.0 (Twenty sockets automatically located and partitioned in Akrometrix Studio with Part Tracking ) Imagine never partitioning

More information

NCSS Statistical Software. Design Generator

NCSS Statistical Software. Design Generator Chapter 268 Introduction This program generates factorial, repeated measures, and split-plots designs with up to ten factors. The design is placed in the current database. Crossed Factors Two factors are

More information

Statistical Pattern Recognition

Statistical Pattern Recognition Statistical Pattern Recognition Features and Feature Selection Hamid R. Rabiee Jafar Muhammadi Spring 2014 http://ce.sharif.edu/courses/92-93/2/ce725-2/ Agenda Features and Patterns The Curse of Size and

More information

Locally Weighted Learning for Control. Alexander Skoglund Machine Learning Course AASS, June 2005

Locally Weighted Learning for Control. Alexander Skoglund Machine Learning Course AASS, June 2005 Locally Weighted Learning for Control Alexander Skoglund Machine Learning Course AASS, June 2005 Outline Locally Weighted Learning, Christopher G. Atkeson et. al. in Artificial Intelligence Review, 11:11-73,1997

More information

Basics of Multivariate Modelling and Data Analysis

Basics of Multivariate Modelling and Data Analysis Basics of Multivariate Modelling and Data Analysis Kurt-Erik Häggblom 9. Linear regression with latent variables 9.1 Principal component regression (PCR) 9.2 Partial least-squares regression (PLS) [ mostly

More information

Forestry Applied Multivariate Statistics. Cluster Analysis

Forestry Applied Multivariate Statistics. Cluster Analysis 1 Forestry 531 -- Applied Multivariate Statistics Cluster Analysis Purpose: To group similar entities together based on their attributes. Entities can be variables or observations. [illustration in Class]

More information

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2275-2280 ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S -As 2 Se PHOTORESISTS N. P. Eisenberg,

More information

Lecture 8 Object Descriptors

Lecture 8 Object Descriptors Lecture 8 Object Descriptors Azadeh Fakhrzadeh Centre for Image Analysis Swedish University of Agricultural Sciences Uppsala University 2 Reading instructions Chapter 11.1 11.4 in G-W Azadeh Fakhrzadeh

More information

A procedure for determining the characteristic value of a geotechnical parameter

A procedure for determining the characteristic value of a geotechnical parameter ISGSR 2011 - Vogt, Schuppener, Straub & Bräu (eds) - 2011 Bundesanstalt für Wasserbau ISBN 978-3-939230-01-4 A procedure for determining the characteristic value of a geotechnical parameter A. J. Bond

More information

ZX-1 Array Plus Zoom Interferometer

ZX-1 Array Plus Zoom Interferometer ZX-1 Array Plus Zoom Interferometer Key Benefits: Single unit can measure multi-fibre MT, Mini-MT, MT-RJ, MPO, MPX and MTP array connectors AND single-fibre PC, APC connectors, ferrules and ferrule blanks

More information

Reflection AB5 Concave Mirror. Teacher s Notes

Reflection AB5 Concave Mirror. Teacher s Notes Reflection: Concave Mirror Teacher s Notes Main Topic Subtopic Learning Level Technology Level Activity Type Required Equipment Optional Equipment & Color Reflection Middle Low Student and Optical Set

More information

Outline. Topic 16 - Other Remedies. Ridge Regression. Ridge Regression. Ridge Regression. Robust Regression. Regression Trees. Piecewise Linear Model

Outline. Topic 16 - Other Remedies. Ridge Regression. Ridge Regression. Ridge Regression. Robust Regression. Regression Trees. Piecewise Linear Model Topic 16 - Other Remedies Ridge Regression Robust Regression Regression Trees Outline - Fall 2013 Piecewise Linear Model Bootstrapping Topic 16 2 Ridge Regression Modification of least squares that addresses

More information

General Factorial Models

General Factorial Models In Chapter 8 in Oehlert STAT:5201 Week 9 - Lecture 1 1 / 31 It is possible to have many factors in a factorial experiment. We saw some three-way factorials earlier in the DDD book (HW 1 with 3 factors:

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Fall 2012 Points: 35 pts. Consider the following snip it from Section 3.4 of our textbook. Data Description

Fall 2012 Points: 35 pts. Consider the following snip it from Section 3.4 of our textbook. Data Description STAT 360: HW #4 Fall 2012 Points: 35 pts Name: SOLUTION Consider the following snip it from Section 3.4 of our textbook. Data Description The data are haystack measurements taken in Nebraska in 1927 and

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Learner Expectations UNIT 1: GRAPICAL AND NUMERIC REPRESENTATIONS OF DATA. Sept. Fathom Lab: Distributions and Best Methods of Display

Learner Expectations UNIT 1: GRAPICAL AND NUMERIC REPRESENTATIONS OF DATA. Sept. Fathom Lab: Distributions and Best Methods of Display CURRICULUM MAP TEMPLATE Priority Standards = Approximately 70% Supporting Standards = Approximately 20% Additional Standards = Approximately 10% HONORS PROBABILITY AND STATISTICS Essential Questions &

More information

Assembly dynamics of microtubules at molecular resolution

Assembly dynamics of microtubules at molecular resolution Supplementary Information with: Assembly dynamics of microtubules at molecular resolution Jacob W.J. Kerssemakers 1,2, E. Laura Munteanu 1, Liedewij Laan 1, Tim L. Noetzel 2, Marcel E. Janson 1,3, and

More information

Digital Volume Correlation for Materials Characterization

Digital Volume Correlation for Materials Characterization 19 th World Conference on Non-Destructive Testing 2016 Digital Volume Correlation for Materials Characterization Enrico QUINTANA, Phillip REU, Edward JIMENEZ, Kyle THOMPSON, Sharlotte KRAMER Sandia National

More information

Fractional. Design of Experiments. Overview. Scenario

Fractional. Design of Experiments. Overview. Scenario Design of Experiments Overview We are going to learn about DOEs. Specifically, you ll learn what a DOE is, as well as, what a key concept known as Confounding is all about. Finally, you ll learn what the

More information

Visual object classification by sparse convolutional neural networks

Visual object classification by sparse convolutional neural networks Visual object classification by sparse convolutional neural networks Alexander Gepperth 1 1- Ruhr-Universität Bochum - Institute for Neural Dynamics Universitätsstraße 150, 44801 Bochum - Germany Abstract.

More information

Data Management - 50%

Data Management - 50% Exam 1: SAS Big Data Preparation, Statistics, and Visual Exploration Data Management - 50% Navigate within the Data Management Studio Interface Register a new QKB Create and connect to a repository Define

More information

Analysis and Optimization of Parameters Affecting Surface Roughness in Boring Process

Analysis and Optimization of Parameters Affecting Surface Roughness in Boring Process International Journal of Advanced Mechanical Engineering. ISSN 2250-3234 Volume 4, Number 6 (2014), pp. 647-655 Research India Publications http://www.ripublication.com Analysis and Optimization of Parameters

More information

CS664 Lecture #16: Image registration, robust statistics, motion

CS664 Lecture #16: Image registration, robust statistics, motion CS664 Lecture #16: Image registration, robust statistics, motion Some material taken from: Alyosha Efros, CMU http://www.cs.cmu.edu/~efros Xenios Papademetris http://noodle.med.yale.edu/~papad/various/papademetris_image_registration.p

More information

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data!

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data! Can you tell me anything about this data! 1 In Semiconductor Manufacturing the Photolithography process steps are very critical to ensure proper circuit and device performance. Without good CD (critical

More information

Moving Beyond Linearity

Moving Beyond Linearity Moving Beyond Linearity Basic non-linear models one input feature: polynomial regression step functions splines smoothing splines local regression. more features: generalized additive models. Polynomial

More information