The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

Size: px
Start display at page:

Download "The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns"

Transcription

1 The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore b, Sanjay Kapasi b, Arthur Lin b a Powerchip Semiconductor Corp., No. Li-Hsin RD, Hsinchu, Taiwan b KLA-Tencor Corp., N. Capitol of Texas Highway, Austin, TX 9, USA ABSTRACT Beyond nm lithography node, mask topograpy is important in litho process. The rigorous EMF simulation should be applied but cost huge time. In this work, we compared experiment data with aerial images of thin and thick mask models to find patterns which are sensitive to mask topological effects and need rigorous EMF simulations. Furthur more, full physical and simplified lumped (LPM) resist models were calibrated for both D and D mask models. The accuracy of CD prediction and run-time are listed to gauge the most efficient simulation. Although a full physical resist model mimics the behavior of a resist material with rigor, the required iterative calculations can result in an excessive execution time penalty, even when simulating a simple pattern. Simplified resist models provide a compromise between computational speed and accuracy. The most efficient simulation approach (i.e. accurate prediction of wafer results with minimum execution time) will have an important position in mask D simulation. Keywords: EMF, D mask, Mask topography, Lumped parameter resist model, Full physical calibrated resist model. INTRODUCTION In ArF immersion process where mask pattern pitch (X and X nodes) is many times smaller than exposure wavelength where strong RET and high NA are required. The light diffraction can not be correctly predicted by the Kirchhoff approximation mask model (or thin mask model) as most frequently used in many imaging simulations today. Precise and accurate forecasting of the wafer pattern requires rigorous electromagnetic field analysis (EMF or D mask mode) which fully considered mask topography effects []. Many studies have indicated significant differences in patterning prediction between Kirchhoff approximations and D mask models[][][]. Besides the difference of aerial image, ArF resist kinetics also play an important role which impacts the real image Optical Microlithography XXIII, edited by Mircea V. Dusa, Will Conley, Proc. of SPIE Vol., S SPIE CCC code: -X//$ doi:./. Proc. of SPIE Vol. S-

2 obtained on the wafer. However, D mask model is well-known for longer execution time and also consumes large computing resources [], even for small mask areas of several microns. In product development stage, it s much predicted accuracy and time-concerned to cost and market. There is another choice, simplified resist model, which is generally called LPM model (Lumped-Parameters Model) and may provide a compromise between computational speed and accuracy. In this paper, we quantify the CD bias between experiments and aerial image simulations across a range of nm node flash memory patterns. The difference will be used to gauge the importance of using rigorous EMF model. We also calibrate both the full physical and simplified resist models for D and D mask simulations. The comparison of CD accuracy and running time will be used to gauge the importance of using any resist model.. D & D MASK AERIAL IMAGE SIMULATION First, we focus on some well-known typical features of flash product beyond nm node to figure out what kind of feature is sensitive to mask topological effects. For D patterns, select-gate features are studied, and for D patterns, landing pad and cut line features are studied (Fig. ). WL area SG 9 SG L L L L L L (c) Figure : Typical features of memory, D features : Select-gate area, D features : landing pad area, (c) D features : cut line. In first part, we observe pure optical simulation accuracy of select-gate area design. WL to WL are chosen to monitor the accuracy because these features are close to SG features which may suffer much proximity effect caused by strong variation of pattern deployment. CD is defined with ADI CD difference between simulation and experimental data. In fig., pure optical aerial image simulation is done with Kirchhoff approximation (D mask) and EMF mask (D mask) compared to experimental data with commercial PR A. It s obviously that ADI CD bias of EMF mask is much better than of Kirchhoff approximation, especially WL to WL CD bias which are closer to SG feature with much optical proximity effect suffered. The maximum. ADI CD bias is only about.nm of pure optical behavior of EMF mask. However, it is quite process related. In fig., experimental ADI CDs of two different PR are compared to simulation results of EMF mask. The maximum. ADI CD bias is increased to.nm with commercial PR B. It implies that litho process change may induce worse accuracy by different PR parameters. Only aerial image with EMF mask Proc. of SPIE Vol. S-

3 simulation is surely enough. Different calibrated PR models of commercial PR A will be discussed in following sections. 9 TOK commercial PR A Kirchhoff (D mask) EMF (D mask) 9 9 commercial PR A commercial PR B 9 Figure : CD of aerial image simulation result benchmark with experimental data of SG features : PR A ADI CD errors with D mask Kirchhoff approximation and with D EMF mask, PR A and PR B CD errors with D EMF mask. In Fig., L, L, and L of landing pad features through different focus with D mask Kirchhoff approximation and with D EMF mask are observed. In Fig., it s also obvious that with D EMF mask the simulation compensation is better than with D Kirchhoff approximation, and the pure optical model simulation difference of two mask approaches is shown in Fig.. The difference range is from.nm to.nm, which is compensated by D EMF mask simulation. Compared to select-gate features, which the simulation difference of D and D mask is large as nm in WL, the simulation difference of landing pad seems acceptable due to dense structure. Though it indeed a good way to adopt D EMF mask for landing pad feature to get more accuracy, it also takes a huge time difference to gain. Landing pad ADI CD with simulation bias D Kirchhoff approximation D EMF mask Defocus -.um Best Focus Defocus +.um D L D L D L D L D L D L Simulation difference (D EMF - D Kirchhoff) (nm) D mask & D mask simulation bias Defocus -.um Defocus -.um Best Focus Defocus +.um Defocus +.um L L L Figure : CD of aerial image simulation result benchmark with experimental data of landing pad features : PR A ADI CD errors with D mask Kirchhoff approximation and with D EMF mask through focus, pure optical model simulation difference of D mask Kirchhoff approximation and D EMF mask through focus Proc. of SPIE Vol. S-

4 In Fig., L, L, and L of cut-line features through different focus with D mask Kirchhoff approximation and with D EMF mask are observed. In Fig., There is no obvious difference between with D EMF mask and D Kirchhoff approximation, and the pure optical model simulation difference of two mask approaches is shown in Fig.. The difference range is from.nm to.nm, which is compensated by D EMF mask simulation. Compared to select-gate features, which the simulation difference of D and D mask is large as nm in WL, the simulation difference of cut-line feature seems acceptable due to dense structure. 9 Cut line ADI CD with simulation bias D Kirchhoff approximation Defocus -.um Best Focus Defocus +.um D EMF mask D L D L D L D L D L D L Simulation difference (D EMF - D Kirchhoff) (nm) Cut-line D mask & D mask simulation bias L L L Defocus -.um Best Focus Defocus +.um Figure : CD of aerial image simulation result benchmark with experimental data of cut-line features : PR A ADI CD errors with D mask Kirchhoff approximation and with D EMF mask through focus, pure optical model simulation difference of D mask Kirchhoff approximation and D EMF mask through focus. DATA COLLECTION AND PR CALIBRATION. Evaluating goodness of fit with the root mean square error Model calibration is performed by minimizing the RMS error between simulation and actual data, yielding a set of kinetic parameters for each modeled resist. The goodness of model fit is estimated by the standard deviation of the error between the data and predictions []: RMSE is the weighted root mean square of the error associated with the second moment about the mean. The weighting function wi is calculated from the statistics of repeat trials.. Feature selection and data collection The physically-rigorous resist model and LPM model are calibrated using CDSEM S9 metrology measurements from wafers processed above. The dataset collected for calibration consisted of focus exposure matrices, including Proc. of SPIE Vol. S-

5 nm pitch with line width nm and space width nm; nm pitch with line width nm; and nm pitch with line width nm and space nm. The line sizes on the mask were nm, nm and nm. Each F-E matrix was measured on duplicate wafers, so that an estimation of process variability could be made. The process conditions for the calibration data: Wavelength: 9 nm Topcoat: nm OC- Resist: nm commercial ArF PR A Process: /s PRE, /s PEB, s development BARC: NISSAN NCA Mask: % attenuated PSM Exposure:. NA, Annular,.9/.. Calibration of rigorous resist model and LPM model In this work, we calibrated four models by accounting for two different mask characterization one with D mask (Kirchhoff approximation) and one with D EMF mask (FDTD Finite Difference Time Domain). These models are calibrated by minimizing the RMS error. After calibration, the quality of the match can be evaluated by inspection of the RMS error. Total RMS (nm) Max. RMS (nm) Max. RMS features Rigorous Resist Model (Kirchhoff ).. nm space / nm pitch LPM Model (Kirchhoff ).. nm space / nm pitch Rigorous Resist Model (EMF mask)..9 nm line / nm pitch LPM Model (EMF mask).. nm space / nm pitch Table : Calibration fitting result : Summary table of total RMS and Max. RMS features. Table summarizes the comparison amongst four different models and Table summarizes calibration results for all the features and shows calibration fit results for all the features used for calibration. Fig. shows max. RMS error matching features of each model with experimental and simulation data. With calibrated PR qualification, cross-section images of experiment and simulation show good compatible result in fig.. Simulated PR profiles of rigorous resist models show good matching to empirical wafer profiles, especially profiles with D EMF mask get compatible top rounding and PR footing. With LPM model, there is much difference from empirical wafer profiles, which means insufficient physical parameters to describe the detail reactions and development phenomenon during wafer process. In Proc. of SPIE Vol. S-

6 this study, we focused on ADI CD matching, which is mainly determined by top view CDSEM image, and the longitudinal PR profile is not our first concern. LPM model with simplified physical parameters can be accepted. (c) (d) Table : Calibration fitting result among test features, rigorous resist model with Kirchhoff approximation, LPM model with Kirchhoff approximation, rigorous resist model with EMF mask(c), LPM model with EMF mask(d). (c) (d) Proc. of SPIE Vol. S-

7 Figure : Max. RMS error matching features of each model with experimental(points) and simulation(lines) data. Rigorous resist model (Kirchhoff) with nm space / nm pitch, LPM model (Kirchhoff) with nm space / nm pitch, rigorous resist model (EMF mask) with nm space / nm pitch (c), LPM model (EMF mask) with nm space / nm pitch (d). L / P L / P (c) (d) (e) Figure : Comparison of experimental cross-section image and simulation cross-section image for different test features. Experimental wafer cross-section profile, rigorous resist model with Kirchhoff approximation, LPM model with Kirchhoff approximation(c), rigorous resist model with EMF mask(d), LPM model with EMF mask(e).. COMPARISON WITH REAL DEVICE EMPIRICAL RESULT. D Kirchhoff approximation mask with PR full physical model and lumped model simulation We generate full physical model and simplified lumped model to compensate the model accuracy. Fig. shows nm to nm proximity features fitting results of LPM model and full physical model. For LPM model, RMS is.nm, and for FPM model, RMS is.nm. FPM shows better fitting result than LPM does. RMS =.nm with LPM model RMS =.nm with FPM model Proc. of SPIE Vol. S-

8 Figure : Proximity features fitting result by D Kirchhoff approximation simulation LPM model Full physical model In fig., we still choose select-gate design & WL to WL to monitor model accuracy. In design, the total CD is well compensated by full physical PR model, which including more details of PR parameters to illustrate litho behavior. Especially CDs of WL to WL, which are closer to SG feature with much optical proximity effect suffered, are also well compensated. By simplified LPM model, in design, even the most critical pattern WL is well compensated, but CDs of WL to WL9 become worse than full physical model. From Table shown, Kirchhoff LPM model is well generated with total RMS only.nm, which is same as full physical model dose. But in-sufficient PR descriptions of parameters will cause wrong result with total ADI CD fitting, even in some critical patterns the fitting result is good. In design, full physical model is also better compensated than simplified LPM model, but the tendency is not so obvious as design showed. It may be caused by measurement error or more test patterns and parameters need to be considered during PR calibration progress. Kirchhoff approximation (D mask) simulation ADI CD bias LPM model Full physical model 9 9 Kirchhoff approximation (D mask) simulation ADI CD bias LPM model Full physical model 9 9 select-gate design select-gate design Figure : CD of Kirchhoff approximation simulation result benchmark with experimental data of SG features (LPM model and full physical model) : select-gate design, select-gate design.. D EMF mask with PR full physical model and lumped model simulation In this section, in order to verify D EMF mask distribution to simulation accuracy, we also generate full physical model and simplified lumped model with D EMF mask calibration. Select-gate design is applied to verify the difference between these two models. Fig. 9 shows nm to nm proximity features fitting results of LPM model and full physical model. For LPM model, RMS is.9nm, and for FPM model, RMS is.nm. FPM shows better fitting result than LPM does. Proc. of SPIE Vol. S-

9 RMS =.9nm with LPM model RMS =.nm with FPM model Figure 9 : Proximity features fitting result by D EMF mask simulation LPM model Full physical model In Fig., it shows that with full physical model gets better compensation than with simplified LPM model. But notice that both are time consuming compared to D Kirchhoff approximation simulation. In device development early stage, accuracy is the most important, but also time to market efficiency is needed to be concerned. Depends on user s host equipment, Either D EMF mask with LPM model or with full physical model is chosen to provide a better compensation in simulation accuracy and running time. In Fig., full physical models with D Kirchhoff approximation and D EMF mask are compared. Result shows better fitting result with D EMF mask, but also it s time-consuming both in calibration and simulation stage. EMF mask (D FDTD) simulation ADI CD bias LPM model Full physical model Full physical model simulation ADI CD bias Kirchhoff (D mask) EMF (D mask) 9 9 Figure : CD of D EMF mask simulation result benchmark with experimental data of SG features (LPM model and full physical model), CD of full physical model simulation result benchmark with experimental data of SG features (D Kirchhoff approximation and D EMF mask).. Time consuming benchmark In Table, we compute the running time of single resist simulation of D Kirchhoff approximation and D EMF mask on D and D patterns. Even for a standard line-end pattern, single aerial image (AI) simulation of D EMF mask Proc. of SPIE Vol. S-9

10 model costs half hour which is tens of run-time of D Kirchhoff mask model. In D Kirchhoff approximation model, LPM model saves %~9% run-time compared to FPM model; In D EMF mask model, LPM model saves %~% run-time compared to FPM model. Combining with the CD accuracy, LPM can be a candidate if D EMF mask model is needed. D_AI D_LPM D_FPM D_AI D_LPM D_FPM Dense L/S (D) s s s s s s Select-gate (D) s s s 9s 9s s Dense Lineend (D) s s ms ms ms ms Landing pad (D) s ms ms >hours >hours >hours Table : Running time summary table of different models with D and D test patterns. CONCLUSION Three typical features of flash memory are examined by D Kirchhoff approximation and D EMF mask optical models. Select-gate patterns is the most sensitive to mask topological effects due to it s various pitch environment. Four different PR models with LPM and full physical by Kirchhoff approximation and D EMF mask calibrated are observed. All these models are calibrated well by test features and total RMS is from.nm to.nm. D EMF mask application significantly improves the simulation accuracy of select-gate pattern beyond nm, especially critical patterns which suffer much optical proximity effect. For LPM model and full physical model application, definitely full physical model provides more accurate simulation result than LPM model due to more parameters are involved during calibration progress, but full physical model is time-consuming both in calibration and simulation stage. More precise parameters in LPM model calibration will provide compatible simulation result to full physical model, and it would be a compensation solution between simulation accuracy and simulation time. ACKNOWLEDGEMENT The authors would like to thanks KLA-Tencor lithography teams for their contributions to this paper. PROLITH is a trademark of KLA-Tencor. REFERENCES. A. Erdmann, Mask modeling in the low k and ultrahigh NA regime: phase and polarization effects Proc. of SPIE Vol., pp.9-().. M. Saied, F. Foussadier, et. al., Three-dimensional mask effects and source polarization impact on OPC model Proc. of SPIE Vol. S-

11 accuracy and process window Proc. of SPIE Vol. ().. K. Sato, M. Itoh, T. Sato, Mask D effect on -nm imaging using attenuated PSM Proc. of SPIE Vol. ().. Peter D. Bisschop, T. Muelders, et. al., Impact of mask three-dimensional effects on resist-model calibration, JM letters Vol. (), Jul.-Sep. 9.. P. Bevington, et. al., Data Reduction and Error Analysis for the Physical Sciences McGraw-Hill,. Proc. of SPIE Vol. S-

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process Shr-Jia Chen* a, Yu-Cheng Chang a, Arthur Lin b, Yi-Shiang Chang a, Chia-Chi Lin a, Jun-Cheng Lai a a Powerchip Technology

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Peng Yu a, David Z. Pan a and Chris A. Mack a,b a Electrical and Computer Engineering Department, University of Texas

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Pavel Nesladek Advanced Mask Technology Center, Rähnitzer Allee 9, 01109 Dresden, Germany 4 rd European DoE

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits

On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits Aswin Sreedhar, Alodeep Sanyal, Sandip Kundu University of Massachusetts at Amherst Abstract Scaling of transistor feature

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

Distributed model calibration using Levenberg-Marquardt algorithm

Distributed model calibration using Levenberg-Marquardt algorithm Distributed model calibration using Levenberg-Marquardt algorithm Mark Lu a, Liang Zhu a, Li Ling b, Gary Zhang b, Walter Chan c, Xin Zhou *c a Grace Semiconductor Manufacturing Corp, 818 GuoShouJing Rd,

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Optimization of one- and two dimensional masks in the optical lithography

Optimization of one- and two dimensional masks in the optical lithography Optimization of one- and two dimensional masks in the optical lithography Richárd Farkas University of Szeged Gabriella Kókai Friedrich-Alexander Universität Erlangen-Nürnberg Bernd Tollkühn, Andreas Erdmann,

More information

Article 3D Topography Mask Aligner

Article 3D Topography Mask Aligner Article 3D Topography Mask Aligner Lithography Simulation Ulrich Hofmann, Nezih Ünal GenISys GmbH 82024 Taufkirchen Germany Ralph Zoberbier SUSS MicroTec Lithography GmbH 85748 Garching Germany Ton Nellissen

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Linyong Pang, Peter Hu, Danping Peng, Dongxue Chen, Tom Cecil, Lin He, Guangming

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Optical Proximity Correction with Hierarchical Bayes Model

Optical Proximity Correction with Hierarchical Bayes Model Optical Proximity Correction with Hierarchical Bayes Model Tetsuaki Matsunawa a, Bei Yu b and David Z. Pan b a Center for Semiconductor Research & Development, Toshiba Corp., Kawasaki, Japan b ECE Department,

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

Process Variation Aware OPC with Variational Lithography Modeling

Process Variation Aware OPC with Variational Lithography Modeling Process Variation Aware OPC with Variational Lithography Modeling Peng Yu ECE Department University of Texas at Austin Austin, TX 78712 yupeng@cerc.utexas.edu Sean X. Shi ECE Department University of Texas

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Automated SEM Offset Using Programmed Defects

Automated SEM Offset Using Programmed Defects Automated SEM Offset Using Programmed Defects Oliver D. Patterson, Andrew Stamper IBM Semiconductor Research and Development Center 2070 Route 52, Mail Stop: 46H Hopewell Junction, NY 12533 USA Roland

More information

Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements

Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements Grace Kessenich 1, Shweta Bhola 1, Baruch Pletner 1, Wesley Horth 1, Anette Hosoi 2

More information

Color Shift Improvement in a Broadband Cholesteric Liquid Crystal. Polarizer through Computational Simulations

Color Shift Improvement in a Broadband Cholesteric Liquid Crystal. Polarizer through Computational Simulations Color Shift Improvement in a Broadband Cholesteric Liquid Crystal Polarizer through Computational Simulations Ping-Chen Chen and Hui-Lung Kuo Material and Chemical Research Labs./Industrial Technology

More information

Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC

Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC Tetsuaki Matsunawa 1, Bei Yu 2 and David Z. Pan 3 1 Toshiba Corporation 2 The

More information

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS Robert L. Hsieh, Detlef Fuchs, Warren W. Flack, and Manish Ranjan Ultratech Inc. San Jose, CA, USA mranjan@ultratech.com

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Kevin Lensing* a, Jason Cain a, Amogh Prabhu a, Alok Vaid a, Robert Chong a, Richard Good a, Bruno LaFontaine b, and

More information

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Jie Yang, Luigi Capodieci and Dennis Sylvester Advanced Micro Devices, 1 AMD Pl., Sunnyvale, CA 9486 EECS Dept., University

More information

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY Ulrich Hofmann, Daniel Ritter, Balint Meliorisz, Nezih Unal GenISys GmbH Germany Dr. Michael Hornung, Ralph Zoberbier SUSS MicroTec Lithography GmbH Germany

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2008 Automatic Techniques for Modeling Impact of Sub-wavelength Lithography on Transistors and Interconnects

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Practical approach to full-field wavefront aberration measurement using phase wheel targets Practical approach to full-field wavefront aberration measurement using phase wheel targets Lena V. Zavyalova *a, Bruce W. Smith a, Anatoly Bourov a, Gary Zhang b, Venugopal Vellanki c, Patrick Reynolds

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Use of multiple azimuthal angles to enable advanced scatterometry applications

Use of multiple azimuthal angles to enable advanced scatterometry applications Use of multiple azimuthal angles to enable advanced scatterometry applications Matthew Sendelbach a, Alok Vaid b, Pedro Herrera c, Ted Dziura c, Michelle Zhang c, Arun Srivatsa c a IBM Corporation, 2070

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer RIT Scholar Works Presentations and other scholarship 5-28-2004 Immersion Microlithography at 193 nm with a Talbot Prism Interferometer Anatoly Bourov Yongfa Fan Frank Cropanese Neal Lafferty Lena V. Zavyalova

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis More Info at Open Access Database www.ndt.net/?id=15137 Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis Jean-Simon Fraser, Pierre-Hugues Allard Creaform, 5825 rue St-Georges,

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Optical Scattering. Analysis. Measurement and SPIE PRESS. John C. Stover THIRD EDITION. Bellingham, Washington USA

Optical Scattering. Analysis. Measurement and SPIE PRESS. John C. Stover THIRD EDITION. Bellingham, Washington USA Optical Scattering Measurement and Analysis THIRD EDITION John C. Stover SPIE PRESS Bellingham, Washington USA Contents Preface to the First Edition xiii Preface to the Second Edition xv Acknowledgments

More information

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands This paper was presented at the SPIE microlithography symposium

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Device Overlay Method for High Volume Manufacturing

Device Overlay Method for High Volume Manufacturing Device Overlay Method for High Volume Manufacturing Honggoo Lee a, Sangjun Han a, Youngsik Kim a, Myoungsoo Kim b, Hoyoung Heo c, Sanghuck Jeon c, DongSub Choi c, Jeremy Nabeth d, Irina Brinster d, Bill

More information

Optimal Design of Steel Columns with Axial Load Using Artificial Neural Networks

Optimal Design of Steel Columns with Axial Load Using Artificial Neural Networks 2017 2nd International Conference on Applied Mechanics and Mechatronics Engineering (AMME 2017) ISBN: 978-1-60595-521-6 Optimal Design of Steel Columns with Axial Load Using Artificial Neural Networks

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits by Ayman Hamouda A thesis presented to the University of Waterloo in fulfilment of the thesis requirement for the degree of

More information