CSPLAT for Photolithography Simulation

Size: px
Start display at page:

Download "CSPLAT for Photolithography Simulation"

Transcription

1 CSPLAT for Photolithography Simulation Guoxiong Wang Institute of VLSI Design, Zhejiang University

2 Outline Photolithographic system Resolution enhancement technologies Photomask techniques Optical proximity correction Phase-shifting mask Traditional simulation method Simulation Tool SPLAT and CSPLAT Some examples

3 Optical part of photolithographic system Figure 1 Schematic of a typical stepper

4 Schematic of the optical part Figure 2 Approximation of a projection exposure system

5 Feature size limit in photolithography processes CD = k 1 λ NA Where CD is Critical Dimension,(also refers to the resolution, i.e.,the minimal printable feature size). lambda is wavelength, NA is Numerical Aperture, k1 is a characteristic constant of the specific lithography process. this formula states the CD limit of a certain litho-process.

6 Roadmap of illumination source wavelength reduction

7 Photolithography technologies The physical limit of NA is 1.0, maximum for feasible NA is about 0.75 to k1 represents lithography aggressiveness (0.75 to 0.35, 0.25 as the theoretical lower limit) Modified illumination Annular illumination Quadrupole illumination Photomask techniques Optical proximity correction Phase-shifting masks Pupil filtering Multiple exposures Antireflective layer Top surface imaging

8 Optical proximity correction Intentionally and systematically distort the mask in such a way as to compensate for optical diffraction limit and process non-idealities. Enables smaller features with closer proximities to be printed on the same area. Increases the process latitude, decreases the variations of linewidth across a chip and could potentially enhance yield; Applicability of combination of OPC and PSM leads to better resolution when the minimum feature dimensions and spacing decrease below the wavelength of the light source.

9 Types of Optical Proximity Correction Model-based OPC use process simulation to determine corrections on-line longer design time,increased mask complexity suitable for aggressive designs Rule-based OPC apply corrections based on a set of predetermined rules fast design time,lower mask complexity suitable for less aggressive designs

10 Phase-shifting Masks Note: E denotes electric field and I denotes intensity (a)light diffracted by two adjacent apertures constructively interferes, increasing the light intensity in the dark area of the wafer between the apertures. (b)with the (alternating)phase-shifting mask,the phase shifter reverses the sign of the electric field, and destructive interference minimizes light intensity at the wafer in the dark area between apertures.

11 Types of phase-shifting masks

12 Traditional simulation method Aerial image simulation Hopkins partial coherence model Photoresist exposure/bleaching simulation Dill s exposure model Photoresist bake/development Simulation Plasma etching and diffusion simulation for different layers.

13 Simulation Tool SPLAT A lithography simulation tool developed in UC Berkeley. Based on the Hopkins Equation for partially coherent imaging. Use the method of two dimension Fourier transform. Calculates sampling intensities on a line or a rectangular area. Unsuitable to deal with sparse aerial point simulation.

14 SPLAT Simulation Flow Optical parameters Hopkins equation TCC Mask profile Fourier transform The Fourier transform of intensity Fourier inverse transform Output image intensity

15 New Simulation Tool -----CSPLAT Written in C, using similar syntax as in SPLAT. Fast sparse aerial points intensity simulation. Hopkins Equation (Bi-linear ) Decomposition of convolution kernels Intensity lookup table for geometrical primitives. Post-imaging model. Variable intensity threshold (line width) Gaussian filters set (line end and corner rounding)

16 New Simulation Tool -----CSPLAT Model training from wafer measurement Test patterns Isolated line line-width Isolated line-end space Pitch structure Regression method Definitive searching Neural network training The goal of model calibration is to capture the CD variations caused by the the process distortions. The more information we have about these sub-processes and the more complex models we use for the simulations,the more accurate the simulation results we should obtain.

17 Convolution kernels Model based correction is calculated based on predefined kernel functions and the mask patterns A convolution value at any specific point (x,y) is found by: Centering a convolution kernel function over the point (x,y) Multiplying the pattern and the convolution kernel Summing the total volume The shape of a convolution kernel ultimately determines the behavior of a model

18 Convolution kernels 1) a partially coherent imaging system with a superposition of coherent imaging system. 2)Reduce to principal waves 3)The first few principal wave could meet the accuracy

19 CSPLAT Simulation Flow Optical parameters Hopkins equation TCC decomposition Set of kernels Table calculation Mask profile tables Post-imaging model Simulated contours

20 Post-imaging model training Test pattern Optical simulator Print on wafer Aerial image measurement extraction Model parameters Variable Threshold model

21 Comparison a c (a) (b) (c) b The error between SPLAT and CSPLAT The calculation result through SPLAT The calculation result through CSPLAT

22 Layout of a real chip

23 A partial layout geometries

24 The simulation result of using CSPLAT

25 Comparison of layout geometries and simulation result

26 Thank You

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Iterative procedure for in-situ EUV optical testing with an incoherent source

Iterative procedure for in-situ EUV optical testing with an incoherent source APS/123-QED Iterative procedure for in-situ EUV optical testing with an incoherent source Ryan Miyakawa and Patrick Naulleau Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Avideh Zakhor Dept.

More information

PSM design for inverse lithography with partially coherent illumination

PSM design for inverse lithography with partially coherent illumination PSM design for inverse lithography with partially coherent illumination Xu Ma a and Gonzalo R. Arce b Department of Electrical and Computer Engineering, University of Delaware, Newark, DE, 19716, U.S.A.

More information

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Peng Yu a, David Z. Pan a and Chris A. Mack a,b a Electrical and Computer Engineering Department, University of Texas

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Interference and Diffraction of Light

Interference and Diffraction of Light Name Date Time to Complete h m Partner Course/ Section / Grade Interference and Diffraction of Light Reflection by mirrors and refraction by prisms and lenses can be analyzed using the simple ray model

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Full-IC manufacturability check based on dense silicon imaging

Full-IC manufacturability check based on dense silicon imaging Science in China Ser. F Information Sciences 2005 Vol.48 No.4 533 544 533 Full-IC manufacturability check based on dense silicon imaging YAN Xiaolang, SHI Zheng, CHEN Ye, MA Yue & GAO Gensheng Institute

More information

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2008 Automatic Techniques for Modeling Impact of Sub-wavelength Lithography on Transistors and Interconnects

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Simplified Models for Edge Transitions in Rigorous Mask Modeling

Simplified Models for Edge Transitions in Rigorous Mask Modeling Simplified Models for Edge Transitions in Rigorous Mask Modeling Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu,

More information

Optical Lithography Simulation using Wavelet Transform

Optical Lithography Simulation using Wavelet Transform University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2010 Optical Lithography Simulation using Wavelet Transform Rance Rodrigues University of Massachusetts

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Auxiliary Pattern for Cell-Based OPC

Auxiliary Pattern for Cell-Based OPC Auxiliary Pattern for Cell-Based OPC Andrew B. Kahng a,b,c and Chul-Hong Park a a UCSD ECE Department, La Jolla, CA b UCSD CSE Department, La Jolla, CA c Blaze DFM, Inc., Sunnyvale, California ABSTRACT

More information

Single slit diffraction

Single slit diffraction Single slit diffraction Book page 364-367 Review double slit Core Assume paths of the two rays are parallel This is a good assumption if D >>> d PD = R 2 R 1 = dsin θ since sin θ = PD d Constructive interference

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods. Marshal Miller. A dissertation submitted in partial satisfaction of the

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods. Marshal Miller. A dissertation submitted in partial satisfaction of the Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods by Marshal Miller A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Pattern Matching for Advanced Lithographic Technologies. Juliet Alison Rubinstein. A dissertation submitted in partial satisfaction of the

Pattern Matching for Advanced Lithographic Technologies. Juliet Alison Rubinstein. A dissertation submitted in partial satisfaction of the Pattern Matching for Advanced Lithographic Technologies by Juliet Alison Rubinstein A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Engineering

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Process Variation Aware OPC with Variational Lithography Modeling

Process Variation Aware OPC with Variational Lithography Modeling Process Variation Aware OPC with Variational Lithography Modeling Peng Yu ECE Department University of Texas at Austin Austin, TX 78712 yupeng@cerc.utexas.edu Sean X. Shi ECE Department University of Texas

More information

Research Article Line Search-Based Inverse Lithography Technique for Mask Design

Research Article Line Search-Based Inverse Lithography Technique for Mask Design Hindawi Publishing Corporation VLSI Design Volume 202, Article ID 58928, 9 pages doi:0.55/202/58928 Research Article Line Search-Based Inverse Lithography Technique for Mask Design Xin Zhao and Chris Chu

More information

Pattern Matching for Advanced Lithographic Technologies

Pattern Matching for Advanced Lithographic Technologies Pattern Matching for Advanced Lithographic Technologies Juliet Alison Rubinstein Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2010-72

More information

Gaussian Beam Calculator for Creating Coherent Sources

Gaussian Beam Calculator for Creating Coherent Sources Gaussian Beam Calculator for Creating Coherent Sources INTRODUCTION Coherent sources are represented in FRED using a superposition of Gaussian beamlets. The ray grid spacing of the source is used to determine

More information

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods Marshal Miller Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-21-16

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Optimization of one- and two dimensional masks in the optical lithography

Optimization of one- and two dimensional masks in the optical lithography Optimization of one- and two dimensional masks in the optical lithography Richárd Farkas University of Szeged Gabriella Kókai Friedrich-Alexander Universität Erlangen-Nürnberg Bernd Tollkühn, Andreas Erdmann,

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

DEVIL PHYSICS THE BADDEST CLASS ON CAMPUS IB PHYSICS

DEVIL PHYSICS THE BADDEST CLASS ON CAMPUS IB PHYSICS DEVIL PHYSICS THE BADDEST CLASS ON CAMPUS IB PHYSICS TSOKOS LESSON 4-7 DIFFRACTION Assessment Statements AHL Topic 11.3. and SL Option A-4 Diffraction: 11.3.1. Sketch the variation with angle of diffraction

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

ACROSS-CHIP linewidth variation induced by photolithography

ACROSS-CHIP linewidth variation induced by photolithography 2144 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 12, DECEMBER 2007 Detailed Placement for Enhanced Control of Resist and Etch CDs Puneet Gupta, Member, IEEE,

More information

Lens Design I. Lecture 11: Imaging Herbert Gross. Summer term

Lens Design I. Lecture 11: Imaging Herbert Gross. Summer term Lens Design I Lecture 11: Imaging 2015-06-29 Herbert Gross Summer term 2015 www.iap.uni-jena.de 2 Preliminary Schedule 1 13.04. Basics 2 20.04. Properties of optical systrems I 3 27.05. 4 04.05. Properties

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Diffraction at a single slit and double slit Measurement of the diameter of a hair

Diffraction at a single slit and double slit Measurement of the diameter of a hair Diffraction at a single slit and double slit Measurement of the diameter of a hair AREEJ AL JARB Background... 3 Objects of the experiments 4 Principles Single slit... 4 Double slit.. 6 Setup. 7 Procedure

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Inside PROLITH. A Comprehensive Guide to Optical Lithography Simulation. For the PROLITH Family of Lithography Simulation Tools, v5.

Inside PROLITH. A Comprehensive Guide to Optical Lithography Simulation. For the PROLITH Family of Lithography Simulation Tools, v5. Inside PROLITH A Comprehensive Guide to Optical Lithography Simulation For the PROLITH Family of Lithography Simulation Tools, v5.0 Chris A. Mack FINLE Technologies, Inc. Austin, Texas Published by FINLE

More information

Physics 228 Today: Diffraction, diffraction grating

Physics 228 Today: Diffraction, diffraction grating Physics 228 Today: Diffraction, diffraction grating Website: Sakai 01:750:228 or www.physics.rutgers.edu/ugrad/228 Diffraction is a further expansion of the idea of interference. We expand from two sources

More information

Topic 9: Wave phenomena - AHL 9.2 Single-slit diffraction

Topic 9: Wave phenomena - AHL 9.2 Single-slit diffraction Topic 9.2 is an extension of Topic 4.4. Both single and the double-slit diffraction were considered in 4.4. Essential idea: Single-slit diffraction occurs when a wave is incident upon a slit of approximately

More information

Layout Regularity Metric as a Fast Indicator of Process Variations

Layout Regularity Metric as a Fast Indicator of Process Variations The American University in Cairo School of Sciences and Engineering Layout Regularity Metric as a Fast Indicator of Process Variations A Thesis Submitted to Department of Electronics Engineering In partial

More information

DEVIL PHYSICS THE BADDEST CLASS ON CAMPUS IB PHYSICS

DEVIL PHYSICS THE BADDEST CLASS ON CAMPUS IB PHYSICS DEVIL PHYSICS THE BADDEST CLASS ON CAMPUS IB PHYSICS TSOKOS LESSON 9-2 SINGLE-SLIT DIFFRACTION Essential Idea: Single-slit diffraction occurs when a wave is incident upon a slit of approximately the same

More information

Line Search-Based Inverse Lithography Technique for Mask Design

Line Search-Based Inverse Lithography Technique for Mask Design Electrical and Computer Engineering Publications Electrical and Computer Engineering 2012 Line Search-Based Inverse Lithography Technique for Mask Design Xin Zhao Ames Laboratory, xzhao@iastate.edu Chris

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

David M. Newmark. Memorandum No. UCBERL M9 1/ December 1991

David M. Newmark. Memorandum No. UCBERL M9 1/ December 1991 COMPUTER AIDED DESIGN TOOLS FOR PHASE-SHIFT MASKS AND SPATIAL FILTERING by David M. Newmark Memorandum No. UCBERL M9 1/117 18 December 1991 COMPUTER AIDED DESIGN TOOLS FOR PHASE-SHIFT MASKS AND SPATIAL

More information

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures Victory Advanced Structure Editor 3D Process Simulator for Large Structures Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures

More information

MEASUREMENT OF THE WAVELENGTH WITH APPLICATION OF A DIFFRACTION GRATING AND A SPECTROMETER

MEASUREMENT OF THE WAVELENGTH WITH APPLICATION OF A DIFFRACTION GRATING AND A SPECTROMETER Warsaw University of Technology Faculty of Physics Physics Laboratory I P Irma Śledzińska 4 MEASUREMENT OF THE WAVELENGTH WITH APPLICATION OF A DIFFRACTION GRATING AND A SPECTROMETER 1. Fundamentals Electromagnetic

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

DIFFRACTION 4.1 DIFFRACTION Difference between Interference and Diffraction Classification Of Diffraction Phenomena

DIFFRACTION 4.1 DIFFRACTION Difference between Interference and Diffraction Classification Of Diffraction Phenomena 4.1 DIFFRACTION Suppose a light wave incident on a slit AB of sufficient width b, as shown in Figure 1. According to concept of rectilinear propagation of light the region A B on the screen should be uniformly

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Interference of Light

Interference of Light Lecture 22 Chapter 22 Physics II Wave Optics: Interference of Light Course website: http://faculty.uml.edu/andriy_danylov/teaching/physicsii Wave Motion Interference Models of Light (Water waves are Easy

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Brightness and geometric transformations

Brightness and geometric transformations Brightness and geometric transformations Václav Hlaváč Czech Technical University in Prague Czech Institute of Informatics, Robotics and Cybernetics 166 36 Prague 6, Jugoslávských partyzánů 1580/3, Czech

More information

Konstantinos Adam. Electrical Engineering and Computer Sciences. in the GRADUATE DIVISION. of the. UNIVERSITY of CALIFORNIA, BERKELEY

Konstantinos Adam. Electrical Engineering and Computer Sciences. in the GRADUATE DIVISION. of the. UNIVERSITY of CALIFORNIA, BERKELEY Domain Decomposition Methods for the Electromagnetic Simulation of Scattering from Three-Dimensional Structures with Applications in Lithography by Konstantinos Adam Diploma (National Technical University

More information

General framework for parameter optimization in imaging interferometric lithography

General framework for parameter optimization in imaging interferometric lithography J. Microlith., Microfab., Microsyst. 4(2), 023009 (Apr Jun 2005) General framework for parameter optimization in imaging interferometric lithography Eric S. Wu University of New Mexico Department of Electrical

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Chapter 37. Wave Optics

Chapter 37. Wave Optics Chapter 37 Wave Optics Wave Optics Wave optics is a study concerned with phenomena that cannot be adequately explained by geometric (ray) optics. Sometimes called physical optics These phenomena include:

More information

Chapter 4 - Diffraction

Chapter 4 - Diffraction Diffraction is the phenomenon that occurs when a wave interacts with an obstacle. David J. Starling Penn State Hazleton PHYS 214 When a wave interacts with an obstacle, the waves spread out and interfere.

More information

Benefiting from polarization effects on high-na imaging

Benefiting from polarization effects on high-na imaging Benefiting from polarization effects on high-na imaging Bruce W. Smith, Lena Zavyalova, Andrew Estroff Rochester Institute of Technology, Microelectronic Engineering Department 82 Lomb Memorial Drive,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

IN all imaging systems, the underlying physical process

IN all imaging systems, the underlying physical process 774 IEEE TRANSACTIONS ON IMAGE PROCESSING, VOL. 16, NO. 3, MARCH 2007 Mask Design for Optical Microlithography An Inverse Imaging Problem Amyn Poonawala and Peyman Milanfar, Senior Member, IEEE Abstract

More information

29. Diffraction of waves

29. Diffraction of waves 29. Diffraction of waves Light bends! Diffraction assumptions The Kirchhoff diffraction integral Fresnel Diffraction diffraction from a slit Diffraction Light does not always travel in a straight line.

More information

Feature Extraction and Image Processing, 2 nd Edition. Contents. Preface

Feature Extraction and Image Processing, 2 nd Edition. Contents. Preface , 2 nd Edition Preface ix 1 Introduction 1 1.1 Overview 1 1.2 Human and Computer Vision 1 1.3 The Human Vision System 3 1.3.1 The Eye 4 1.3.2 The Neural System 7 1.3.3 Processing 7 1.4 Computer Vision

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

29. Diffraction of waves

29. Diffraction of waves 9. Diffraction of waves Light bends! Diffraction assumptions The Kirchhoff diffraction integral Fresnel Diffraction diffraction from a slit Diffraction Light does not always travel in a straight line.

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

mywbut.com Diffraction

mywbut.com Diffraction Diffraction If an opaque obstacle (or aperture) is placed between a source of light and screen, a sufficiently distinct shadow of opaque (or an illuminated aperture) is obtained on the screen.this shows

More information

Chapter 37. Interference of Light Waves

Chapter 37. Interference of Light Waves Chapter 37 Interference of Light Waves Wave Optics Wave optics is a study concerned with phenomena that cannot be adequately explained by geometric (ray) optics These phenomena include: Interference Diffraction

More information

Control of Light. Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 2007

Control of Light. Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 2007 Control of Light Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 007 Spectro-radiometry Spectral Considerations Chromatic dispersion

More information

Lecture 39. Chapter 37 Diffraction

Lecture 39. Chapter 37 Diffraction Lecture 39 Chapter 37 Diffraction Interference Review Combining waves from small number of coherent sources double-slit experiment with slit width much smaller than wavelength of the light Diffraction

More information

Chapter 24. Wave Optics

Chapter 24. Wave Optics Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control

Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control J. Micro/Nanolith. MEMS MOEMS 7 1, 013002 Jan Mar 2008 Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control Andrew B. Kahng University of California

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

CHAPTER 1 Introduction 1. CHAPTER 2 Images, Sampling and Frequency Domain Processing 37

CHAPTER 1 Introduction 1. CHAPTER 2 Images, Sampling and Frequency Domain Processing 37 Extended Contents List Preface... xi About the authors... xvii CHAPTER 1 Introduction 1 1.1 Overview... 1 1.2 Human and Computer Vision... 2 1.3 The Human Vision System... 4 1.3.1 The Eye... 5 1.3.2 The

More information

PHYSICS 1040L LAB LAB 7: DIFFRACTION & INTERFERENCE

PHYSICS 1040L LAB LAB 7: DIFFRACTION & INTERFERENCE PHYSICS 1040L LAB LAB 7: DIFFRACTION & INTERFERENCE Object: To investigate the diffraction and interference of light, Apparatus: Lasers, optical bench, single and double slits. screen and mounts. Theory:

More information

Optical Proximity Correction with Linear Regression

Optical Proximity Correction with Linear Regression Optical Proximity Correction with Linear Regression 1 Allan Gu and Avideh Zakhor, Fellow, IEEE Department of Electrical Engineering and Computer Sciences University of California at Berkeley, CA 9472,

More information

Ray Optics I. Last time, finished EM theory Looked at complex boundary problems TIR: Snell s law complex Metal mirrors: index complex

Ray Optics I. Last time, finished EM theory Looked at complex boundary problems TIR: Snell s law complex Metal mirrors: index complex Phys 531 Lecture 8 20 September 2005 Ray Optics I Last time, finished EM theory Looked at complex boundary problems TIR: Snell s law complex Metal mirrors: index complex Today shift gears, start applying

More information

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY Ulrich Hofmann, Daniel Ritter, Balint Meliorisz, Nezih Unal GenISys GmbH Germany Dr. Michael Hornung, Ralph Zoberbier SUSS MicroTec Lithography GmbH Germany

More information

Extracting Wavefront Error From Shack-Hartmann Images Using Spatial Demodulation

Extracting Wavefront Error From Shack-Hartmann Images Using Spatial Demodulation Etracting Wavefront Error From Shack-Hartmann Images Using Spatial Demodulation Edwin J. Sarver, PhD; Jim Schwiegerling, PhD; Raymond A. Applegate, OD, PhD ABSTRACT PURPOSE: To determine whether the spatial

More information

The location of the bright fringes can be found using the following equation.

The location of the bright fringes can be found using the following equation. What You Need to Know: In the past two labs we ve been thinking of light as a particle that reflects off of a surface or refracts into a medium. Now we are going to talk about light as a wave. If you take

More information

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits by Ayman Hamouda A thesis presented to the University of Waterloo in fulfilment of the thesis requirement for the degree of

More information

Introduction to Computer Vision. Week 8, Fall 2010 Instructor: Prof. Ko Nishino

Introduction to Computer Vision. Week 8, Fall 2010 Instructor: Prof. Ko Nishino Introduction to Computer Vision Week 8, Fall 2010 Instructor: Prof. Ko Nishino Midterm Project 2 without radial distortion correction with radial distortion correction Light Light Light! How do you recover

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information