Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs

Size: px
Start display at page:

Download "Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs"

Transcription

1 Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Ramachandra Achar Carleton University 5170ME, Dept. of Electronics Ottawa, Ont, Canada K1S 5B6 * Ph: ; Fax:

2 AGENDA Introduction Power Distribution Networks Power-Grid Modeling/Analysis Challenges Advanced Analysis Methods Partitioning Wave-form Relaxation Initial-guess generation Parallelization Schemes Numerical Results Conclusions

3 Power Delivery System A PDS consists of: Voltage Regulator Modules (VRMs) Decoupling or Bypass Capacitors on the PCB and packages Printed Circuit Board (PCB) power planes Package power planes Chip power distributions Most of the digital ICs require a PDS to deliver a voltage with a tolerance defined in datasheet.

4 Power Integrity in High-Speed Designs Trends Decreasing Feature Size Increasing Frequency Mixed-domain integration (RF, optical, MEMs) Low Power Issues Increased sensitivity to Supply variations Reduced noise margins Timing errors, Failed Designs

5 On-Chip PDNs: Flip Chip Flipped DIE V DD /Gnd Contacts Pads Package Uniform distribution of power Shorter contacts: reduced parasitics More widely used

6 Flip Chip PDN: Modeling VDD contact RC-PDN Level 2 Level 1 Via Current Sources Representing Power-drain 1 ma I 2 ns Leakage + Switching Current

7 Flip Chip PDNs: Large Power Grids Can contain millions of nodes! Existing solvers too slow or inaccuarte Cann t exploit the parallel platforms

8 Power Grid Analysis: In the literature Direct Methods Iterative Methods Multigrid [Najm et. al., TCAD 2002] Hierarchical [Blaauw et. al., TCAD 2002] MOR [He et. al., DAC 2002] and many more Krylov-subspace [Chen et. al., DAC 2001] Successive Overrelaxation [Wong et. al., ICCAD 2007] Random Walks [Nassif et. al., TCAD 2005] and many more

9 In the literature Direct Methods Fast Memory Inefficient Sequential Process Iterative Methods Slow Memory Efficient Parallelizable + Waveform Relaxation based Power Grid Analysis

10 WR Overview- Partitioning Circuit.... Points of Weak Coupling

11 WR Overview - Relaxation Gauss Seidel { V 1, I 1 } { V 2, I 2 } I 1 I 1 WR2 WR1 Better Convergence Memory Efficient

12 WR for High-Speed Applications Recent work: Dense Coupled Interconnects N. Nakhla, A. Ruehli, M. Nakhla and R. Achar, Simulation of coupled interconnnects using waveform relaxation and transverse partitioning, TAdvP, pp.78-87, Feb Dense Coupled Interconnects with FD parameters N. Nakhla, A. Ruehli, M. Nakhla, R. Achar & C. Chen, "Waveform Relaxation Techniques for Coupled Interconnects with Frequency-Dependent Parameters", IEEE TAdvp, Large Multiport Networks N. Nakhla, M. Nakhla and R. Achar, Sparse and Passive Reduction of Massively Coupled Large Multiport Interconnects, ICCAD-2007

13 WR for Power Grid Transient Analysis Efficient Partitioning Schemes Improved initial-guess computation CPU efficient parallelization

14 Partitioning strong coupling in all directions in a Power Grid How to Partition the Power Grid????

15 Voltage Profiles - Sample 50X50 power grid V DD Contact Strong Current Source zones (100mA)

16 Partitioning Block Row Partitioning Block 1 Block 2 Block 3 V DD Contact Block 4 Block 5

17 Block Row Partitioning V DD contact i th subcircuit Waveform Relaxation sources

18 Initial-Guess Generation MNA Equation for Power Grids GX( t) CX( t) Bu( t) For applying WR, DC Solution of the power grid X(0) is required very expensive!!! Must Solve: GX(0) B DC Proposed DC solution is made part of the WR iterations

19 Estimation of A WR Estimation based on locality in DC solution A WR t WR t (ns) [Eli Chiprout, ICCAD 2004]

20 Parallelization Schemes Block 1 Block 2 Block 3 Block 4 coupling limited to neighboring block(s) Block 5 Parallel GS-WR

21 Proposed Parallel GS-WR Simulated Block 1 Simulated Block 2 Simulated Block 3 Simulated Block 4 Simulated Block 5 I. Simulate Odd blocks II. Update WR sources for Even blocks III. Simulate Even blocks IV. Update WR sources for Odd blocks V. Proceed to next iteration

22 Enhanced pipelining Pipelining via physical & time partitioning Scales well with the # of BRP partitions Scales well with the # of processors 100% CPU use ensured if # of time blocks = # of processors

23 Pipelining via physical & time partitioning 0 T1 T2 T Block 1 Block 2 Block 3 Block 4 Block 5 Block 6 Block 7 Block 8 # ph. blocks 8 # iter. 2 # procs. 3 # time blocks 3

24 Power-Grid : 7.5 million nodes Proposed GS-WR method CPU time # CPUs (min.) Direct- LU (CPU time) (min.) Speed- Up

25 Example : 7.5 million nodes Speed-up 35 Speed-Up Curve w.r.t. Direct-LU Number of CPUs

26 Conclusions Power-Grid Analysis : Large circuits CPU & Memory Intensive Emerging Parallel Platforms WR algorithms for transient analysis of power-grids Combines the merits of both direct & iterative methods Fast, Memory efficient Parallel & Scalable Slide Acknowledgements: Prof. Nakhla and Current/Past Graduate students of CAE Group, Dept. of Electronics, Carleton University

Scalable Parallel Simulation of General Electrical Circuits

Scalable Parallel Simulation of General Electrical Circuits Scalable Parallel Simulation of General Electrical Circuits by Douglas Paul, B.A.Sc., M.A.Sc. A thesis submitted to the Faculty of Graduate and Postdoctoral Affairs in partial fulfillment of the requirements

More information

Efficient Second-Order Iterative Methods for IR Drop Analysis in Power Grid

Efficient Second-Order Iterative Methods for IR Drop Analysis in Power Grid Efficient Second-Order Iterative Methods for IR Drop Analysis in Power Grid Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of

More information

A Hierarchical Matrix Inversion Algorithm for Vectorless Power Grid Verification

A Hierarchical Matrix Inversion Algorithm for Vectorless Power Grid Verification A Hierarchical Matrix Inversion Algorithm for Vectorless Power Grid Verification Xuanxing Xiong and Jia Wang Electrical and Computer Engineering Department Illinois Institute of Technology, Chicago, IL

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

HS3DPG: Hierarchical Simulation for 3D P/G Network Shuai Tao, Xiaoming Chen,Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang

HS3DPG: Hierarchical Simulation for 3D P/G Network Shuai Tao, Xiaoming Chen,Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang HS3DPG: Hierarchical Simulation for 3D P/G Network Shuai Tao, Xiaoming Chen,Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang Presented by Shuai Tao (Tsinghua University, Beijing,China) January 24,

More information

Large scale P/G grid transient simulation using hierarchical relaxed approach

Large scale P/G grid transient simulation using hierarchical relaxed approach ARTICLE IN PRESS INTEGRATION, the VLSI journal 41 (2008) 153 160 www.elsevier.com/locate/vlsi Large scale P/G grid transient simulation using hierarchical relaxed approach Yici Cai a,, Jin Shi a, Zhu Pan

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

CS152 Computer Architecture and Engineering Lecture 16: Memory System

CS152 Computer Architecture and Engineering Lecture 16: Memory System CS152 Computer Architecture and Engineering Lecture 16: System March 15, 1995 Dave Patterson (patterson@cs) and Shing Kong (shing.kong@eng.sun.com) Slides available on http://http.cs.berkeley.edu/~patterson

More information

Programmable Dual LDO Output Voltage and WLED Current with I 2 C Interface. Figure 1. Dual LDO Camera Supply Input Applicaiton Circuit

Programmable Dual LDO Output Voltage and WLED Current with I 2 C Interface. Figure 1. Dual LDO Camera Supply Input Applicaiton Circuit Programmable Dual LDO Output Voltage and W Current with I 2 C Interface 1. Introduction Bear Huang, August 2007 The RT9367A is an integrated solution for panel backlighting and phone camera application.

More information

Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis

Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis Yiyu Shi Wei Yao Jinjun Xiong Lei He Electrical Engineering Dept., UCLA IBM Thomas J. Watson Research Center Los

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

Large-Scale Full-Wave Simulation

Large-Scale Full-Wave Simulation Large-Scale Full-Wave Simulation Sharad Kapur and David Long Integrand Software, Inc. Areas of interest Consistent trends in IC design Increasing operating frequencies Modeling of passive structures (components,

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Technical Data Sheet Photolink- Fiber Optic Receiver

Technical Data Sheet Photolink- Fiber Optic Receiver Technical Data Sheet Photolink- Fiber Optic Receiver Features 1. High PD sensitivity optimized for red light 2. Data : NRZ signal 3. Low power consumption for extended battery life 4. Built-in threshold

More information

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Lun Ye 1 Foong-Charn Chang 1 Peter Feldmann 1 Nagaraj NS 2 Rakesh Chadha 1 Frank Cano 3 1 Bell Laboratories, Murray

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Partitioning-Based Approach to Fast On-Chip Decap Budgeting and Minimization

Partitioning-Based Approach to Fast On-Chip Decap Budgeting and Minimization 12.1 Partitioning-Based Approach to Fast On-Chip Decap Budgeting and Minimization Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu,YiciCai and Xianlong Hong Department of Electrical Engineering, University

More information

A Proposal for Developing S2IBISv3

A Proposal for Developing S2IBISv3 A Proposal for Developing S2IBISv3 Paul Franzon Michael Steer Automated Design Tools for Integrated Mixed Signal Microsystems (NeoCAD) Outline Background DARPA Program NeoCad Program Objectives Program

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 10, OCTOBER

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 10, OCTOBER IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 10, OCTOBER 2011 1823 Parallel On-Chip Power Distribution Network Analysis on Multi-Core-Multi-GPU Platforms Zhuo Feng, Member,

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 2 high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4-2 (ESD) ±15kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) (5/50ns) Level-3, 20A for I/O, 40A for

More information

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization

Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization IEEE TRASACTIOS O COMPUTER-AIDED DESIG OF ITEGRATED CIRCUITS AD SYSTEMS, VOL XX, O. XX, DECEMBER 200X 1 Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization Hang

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Memory Consistency and Multiprocessor Performance

Memory Consistency and Multiprocessor Performance Memory Consistency Model Memory Consistency and Multiprocessor Performance Define memory correctness for parallel execution Execution appears to the that of some correct execution of some theoretical parallel

More information

SS249 CMOS Omnipolar High Sensitivity Micropower Hall Switch

SS249 CMOS Omnipolar High Sensitivity Micropower Hall Switch Packages Features and Benefits Solid-State Reliability much better than reed Switch Omnipolar, output switches with absolute value of North or South pole from magnet Operation from 2.5V to 5.5V High sensitivity

More information

Multigrid Methods for Markov Chains

Multigrid Methods for Markov Chains Multigrid Methods for Markov Chains Hans De Sterck Department of Applied Mathematics, University of Waterloo collaborators Killian Miller Department of Applied Mathematics, University of Waterloo, Canada

More information

An Efficient Spectral Graph Sparsification Approach to Scalable Reduction of Large Flip-Chip Power Grids

An Efficient Spectral Graph Sparsification Approach to Scalable Reduction of Large Flip-Chip Power Grids An Efficient Spectral Graph Sparsification Approach to Scalable Reduction of Large Flip-Chip Power Grids Xueqian Zhao Department of ECE Michigan Technological University Houghton, Michigan 49931 Email:

More information

Memory Consistency and Multiprocessor Performance. Adapted from UCB CS252 S01, Copyright 2001 USB

Memory Consistency and Multiprocessor Performance. Adapted from UCB CS252 S01, Copyright 2001 USB Memory Consistency and Multiprocessor Performance Adapted from UCB CS252 S01, Copyright 2001 USB 1 Memory Consistency Model Define memory correctness for parallel execution Execution appears to the that

More information

Obsolete. LX1800 SMBus TO ANALOG INTERFACE

Obsolete. LX1800 SMBus TO ANALOG INTERFACE LX1800 SMBus TO ANALOG INTERFACE TM Page 1 INTRODUCING TO PRODUCT The LX1800 Evaluation Board is available from for evaluating the functionality and performance of the LX1800 SMBus to Analog Interface

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

SS1438 Unipolar Hall Switch

SS1438 Unipolar Hall Switch Features and Benefits Wide operating voltage range from 2.5V to 24V Medium sensitivity CMOS technology Chopper-stabilized amplifier stage Superior temperature stability Extremely low switchpoint drift

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

E3B USER'S GUIDE. for QT310 / QT320 QProx IC evaluation and development Overview. Fast Start

E3B USER'S GUIDE. for QT310 / QT320 QProx IC evaluation and development Overview. Fast Start E3B USER'S GUIDE for QT310 / QT320 QProx IC evaluation and development Overview The E3B board works with Quantum s QT310 and QT320 QProx ICs. Either device can be inserted into the 8-pin DIP socket. QT310

More information

Non Uniform On Chip Power Delivery Network Synthesis Methodology

Non Uniform On Chip Power Delivery Network Synthesis Methodology Non Uniform On Chip Power Delivery Network Synthesis Methodology Patrick Benediktsson Institution of Telecomunications, University of Lisbon, Portugal Jon A. Flandrin Institution of Telecomunications,

More information

PAN3504 USB OPTICAL MOUSE SINGLE CHIP

PAN3504 USB OPTICAL MOUSE SINGLE CHIP General Description USB OPTICAL MOUSE SINGLE CHIP The is a CMOS process optical mouse sensor single chip with USB interface that serves as a nonmechanical motion estimation engine for implementing a computer

More information

Application Note. EMC Design Guide. F 2 MC-8L Family. History 04 th Jul 02 NFL V1.0 new version

Application Note. EMC Design Guide. F 2 MC-8L Family. History 04 th Jul 02 NFL V1.0 new version Application Note EMC Design Guide F 2 MC-8L Family Fujitsu Mikroelektronik GmbH, Microcontroller Application Group History 04 th Jul 02 NFL V1.0 new version 1 Warranty and Disclaimer To the maximum extent

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Overview Opportunities for Full-Chip Analog Verification Analog vs. Digital Design SPICE standard design tool for Analog and Mixed-Signal

More information

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE:

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE: 16MB, 32MB, 64MB DATA SHEET REVISION: 111 REVISION DATE: 06-24-05 REV 111 06/24/05 NOTICES: Copyright 2002, 2004, 2005 Matrix Semiconductor, Inc All rights reserved If you have received this document from

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Toshiba Case Study RF Module Shrink (TransferJet TM )

Toshiba Case Study RF Module Shrink (TransferJet TM ) Toshiba Case Study RF Module Shrink (TransferJet TM ) These slides are an abridgement of two presentations given by Toshiba at the Zuken Innovation World (ZIW) conference held in Japan in 2012 and 2013.

More information

Study and implementation of computational methods for Differential Equations in heterogeneous systems. Asimina Vouronikoy - Eleni Zisiou

Study and implementation of computational methods for Differential Equations in heterogeneous systems. Asimina Vouronikoy - Eleni Zisiou Study and implementation of computational methods for Differential Equations in heterogeneous systems Asimina Vouronikoy - Eleni Zisiou Outline Introduction Review of related work Cyclic Reduction Algorithm

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

Lecture 15: More Iterative Ideas

Lecture 15: More Iterative Ideas Lecture 15: More Iterative Ideas David Bindel 15 Mar 2010 Logistics HW 2 due! Some notes on HW 2. Where we are / where we re going More iterative ideas. Intro to HW 3. More HW 2 notes See solution code!

More information

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) Performance Model for Inter-chip Busses Considering Bandwidth and Cost Authors: Brock J. LaMeres, University of Colorado / Sunil P. Khatri

More information

IEC (EFT) 40A

IEC (EFT) 40A Features ESD Protect for high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4- (ESD) ±1kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) 40A (/0ns) IEC 61000-4- (Lightning) 1A (8/0µs)

More information

24C08/24C16. Two-Wire Serial EEPROM. Preliminary datasheet 8K (1024 X 8)/16K (2048 X 8) General Description. Pin Configuration

24C08/24C16. Two-Wire Serial EEPROM. Preliminary datasheet 8K (1024 X 8)/16K (2048 X 8) General Description. Pin Configuration Two-Wire Serial EEPROM Preliminary datasheet 8K (1024 X 8)/16K (2048 X 8) Low-voltage Operation 1.8 (VCC = 1.8V to 5.5V) Operating Ambient Temperature: -40 C to +85 C Internally Organized 1024 X 8 (8K),

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

SPECIFICATION MX8732A USB/PS2 Single Chip Optical Mouse Sensor VERSION 1.2

SPECIFICATION MX8732A USB/PS2 Single Chip Optical Mouse Sensor VERSION 1.2 SPECIFICATION MX8732A USB/PS2 Single Chip Optical Mouse Sensor VERSION 1.2 Sigma reserves the right to change this documentation without prior notice TABLE OF CONTENTS 1. General Description... 3 2. Features...

More information

ILI2511. ILI2511 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.4. Date: 2018/7/5

ILI2511. ILI2511 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.4. Date: 2018/7/5 Single Chip Capacitive Touch Sensor Controller Specification Version: V1.4 Date: 2018/7/5 ILI TECHNOLOGY CORP. 8F., No.1, Taiyuan 2 nd St., Zhubei City, Hsinchu County 302, Taiwan (R.O.C.) Tel.886-3-5600099;

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

TECHNOLOGY SYSTEM-LEVEL SIMULATION. S.P. Levitan and D.M. Chiarulli University of Pittsburgh, Pittsburgh, PA USA

TECHNOLOGY SYSTEM-LEVEL SIMULATION. S.P. Levitan and D.M. Chiarulli University of Pittsburgh, Pittsburgh, PA USA MULTI-LEVEL LEVEL MIXED- TECHNOLOGY SYSTEM-LEVEL SIMULATION S.P. Levitan and D.M. Chiarulli University of Pittsburgh, Pittsburgh, PA 15260 USA Collaborators and Support Jose A. Martinez, Mark Kahrs, Jason

More information

DS21T09 Plug and Play SCSI Terminator

DS21T09 Plug and Play SCSI Terminator DS21T09 Plug and Play SCSI Terminator www.dalsemi.com FEATURES Fully compliant with SCSI-1, Fast SCSI and Ultra SCSI Compatible with Plug and Play SCSI Profile Functional drop in replacement for the DS2109

More information

CARDINAL COMPONENTS. FREQUENCY A MHz. Specifications: Min Typ Max Unit

CARDINAL COMPONENTS. FREQUENCY A MHz. Specifications: Min Typ Max Unit Re-Configurable 6 Output PECL TCXO Fixed & Re-Configurable Multi-Frequency Oscillator Intuitive software and I 2 C interface Easily update system Industry-standard packaging saves on board space Mult.

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

PAM8304-EV board User Guide AE Department. Date Revision Description Comment

PAM8304-EV board User Guide AE Department. Date Revision Description Comment 1. Revision Information -EV board User Guide AE Department Date Revision Description Comment 2013/5/31 V1.0 Initial release 1 of 5 2. Key Features Supply Voltage from 2.8V to 6.0V 3.0W@10% THD Output with

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Multigrid Pattern. I. Problem. II. Driving Forces. III. Solution

Multigrid Pattern. I. Problem. II. Driving Forces. III. Solution Multigrid Pattern I. Problem Problem domain is decomposed into a set of geometric grids, where each element participates in a local computation followed by data exchanges with adjacent neighbors. The grids

More information

Numerical Algorithms

Numerical Algorithms Chapter 10 Slide 464 Numerical Algorithms Slide 465 Numerical Algorithms In textbook do: Matrix multiplication Solving a system of linear equations Slide 466 Matrices A Review An n m matrix Column a 0,0

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

POWER grid analysis is an indispensable step in high-performance

POWER grid analysis is an indispensable step in high-performance 1204 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 8, AUGUST 2005 Power Grid Analysis Using Random Walks Haifeng Qian, Sani R. Nassif, Senior Member, IEEE,

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

CpE 442. Memory System

CpE 442. Memory System CpE 442 Memory System CPE 442 memory.1 Outline of Today s Lecture Recap and Introduction (5 minutes) Memory System: the BIG Picture? (15 minutes) Memory Technology: SRAM and Register File (25 minutes)

More information

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC Ordering number : ENN7632 CMOS IC LC75700T Key Scan IC Overview The LC75700T is a key scanning LSI that accepts input from up to 30 keys and can control up to four generalpurpose output ports. Therefore

More information

AZC099-04S 4 IEC (ESD)

AZC099-04S 4 IEC (ESD) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

HS3-DPG: Hierarchical Simulation for 3-D P/G Network

HS3-DPG: Hierarchical Simulation for 3-D P/G Network IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 HS3-DPG: Hierarchical Simulation for 3-D P/G Network Yu Wang, Song Yao, Shuai Tao, Xiaoming Chen, Yuchun Ma, Yiyu Shi, and Huazhong Yang

More information

Scalable series-stacked power delivery architectures for improved efficiency and reduced supply current

Scalable series-stacked power delivery architectures for improved efficiency and reduced supply current Scalable series-stacked power delivery architectures for improved efficiency and reduced supply current Robert Pilawa Enver Candan, Josiah McClurg, Sai Zhang, Pradeep Shenoy* Phil Krein, Naresh Shanbhag

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

CPS101 Computer Organization and Programming Lecture 13: The Memory System. Outline of Today s Lecture. The Big Picture: Where are We Now?

CPS101 Computer Organization and Programming Lecture 13: The Memory System. Outline of Today s Lecture. The Big Picture: Where are We Now? cps 14 memory.1 RW Fall 2 CPS11 Computer Organization and Programming Lecture 13 The System Robert Wagner Outline of Today s Lecture System the BIG Picture? Technology Technology DRAM A Real Life Example

More information

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY New Technologies in CST STUDIO SUITE 2016 Outline Design Tools & Modeling Antenna Magus Filter Designer 2D/3D Modeling 3D EM Solver Technology Cable / Circuit / PCB Systems Multiphysics CST Design Tools

More information

Turbostream: A CFD solver for manycore

Turbostream: A CFD solver for manycore Turbostream: A CFD solver for manycore processors Tobias Brandvik Whittle Laboratory University of Cambridge Aim To produce an order of magnitude reduction in the run-time of CFD solvers for the same hardware

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning. This device

More information