DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

Size: px
Start display at page:

Download "DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)"

Transcription

1 DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) Performance Model for Inter-chip Busses Considering Bandwidth and Cost Authors: Brock J. LaMeres, University of Colorado / Sunil P. Khatri Texas A&M University Performance Model for Inter-chip Busses 1

2 Problem Statement Performance in VLSI Systems is Limited by Noise from the Package An Analytical Model for System Performance is needed for: 1) CAD/CAE 2) Quick Hand Calculations Performance Model for Inter-chip Busses 2

3 Agenda 1) Problem Motivation 2) Analytical Model Development 3) Simulation Results 4) Example Use Model Performance Model for Inter-chip Busses 3

4 Problem : Packaging Limits Performance Transistor Technology is Faster than Package Technology IC Moore s Law - # of transistors will double every 18 months Package Rent s Rule - # of I/O will double in next 10 years Performance Model for Inter-chip Busses 4

5 Problem : Packaging Limits Performance Today s Packages Have Inductive Parasitics QFP Wire Bond (~4.5nH) BGA Wire Bond (~3.7nH) Performance Model for Inter-chip Busses 5

6 Problem : Packaging Limits Performance Inductive Interconnect Causes Noise When Signals Switch: 1) Supply Bounce 2) Pin-to-Pin Coupling Simultaneous Switching Noise (SSN) Performance Model for Inter-chip Busses 6

7 Problem : Packaging Limits Performance 1) Supply Bounce Switching current through inductive packaging induces voltage: V bnc di = L dt L = Inductance of pwr/gnd pin that current is being switched through. Multiple Signals Switching Increase the Problem: V bnc n di = L i dt n = # of drivers sharing the power/gnd pin (L). Performance Model for Inter-chip Busses 7

8 Problem : Packaging Limits Performance 2) Pin-to-Pin Coupling Switching Signals Couple Voltage onto Neighbors: V couple k = 1k Multiple Signals Switching Increase the Problem: V couple M di dt di k k = M1 k 1 dt M = Mutual Inductance between package interconnects. Performance Model for Inter-chip Busses 8

9 Problem : Packaging Limits Performance Package Inductance Creates Simultaneous Switching Noise SSN SSN in Package Limits di/di di SSN dt Performance Model for Inter-chip Busses 9

10 Problem : Packaging Limits Performance Aggressive Package Design will Reduce Inductance QFP Wire Bond : 4.5nH $0.22 / pin BGA Wire Bond : 3.7nH $0.34 / pin BGA Flip-Chip : 1.2nH $0.63 / pin But is Expensive - 95% of VLSI design-starts are wire-bond Performance Model for Inter-chip Busses 10

11 Problem : Packaging Limits Performance Modern Design Practice 1) Acceptable SSN Limits are Defined. 2) Fastest (di/dt) is selected that doesn t violate limits. Limitations of Approach SPICE is used to evaluate SSN. This takes too much time. The entire range of variables cannot be evaluated quickly (package, # of pwr/gnd, bus width, etc ). Performance Model for Inter-chip Busses 11

12 Problem : Packaging Limits Performance We need an Analytical Model to Evaluate Off-Chip Bus Performance 1) Package Parasitics 2) Package Cost 3) Bus Width 4) # of Power/Grounds This can be used to find Optimal Bus Configuration Desired Performance for the Least Cost Performance Model for Inter-chip Busses 12

13 Test Circuit Topology Analytical Model - 0.1um CMOS Tx/Rx v VDD, 0.35 Vt - 25mA Drive Strength - Series Terminated Performance Model for Inter-chip Busses 13

14 Analytical Model Failure Modes Power Supply Droop Signal Coupling Ground Bounce Power Supply Droop = Ground Bounce Performance Model for Inter-chip Busses 14

15 Analytical Model Bus Parameters P S S G S S P S S G S S P S S G S S P SIG SIG GND SIG SIG WBUS WBUS : # of Signals Per Bus Segment of Interest Performance Model for Inter-chip Busses 15

16 Analytical Model Bus Parameters P S S G S S P S S G S S P S S G S S P SIG SIG GND SIG SIG NG NG : # of Grounds Per Bus Segment of Interest Performance Model for Inter-chip Busses 16

17 Analytical Model Bus Parameters P S S G S S P S S G S S P S S G S S P Repetitive Pattern of Signal, Power, and Ground Pins SPG : (# of Signals) : (# of PWR s) : (# of GND s) SPR : SPG Ratio Performance Model for Inter-chip Busses 17

18 Analytical Model Bus Parameters P S S G S S P S S G S S P S S G S S P PWR SIG SIG GND SIG SIG Example: WBUS : 4 NG : 1 SPG : 4:1:1 SPR : 4 Performance Model for Inter-chip Busses 18

19 Analytical Model Bus Performance Description Slewrate v(t) dv dt slewrate dv di = = dt dt Z load t Performance Model for Inter-chip Busses 19

20 Analytical Model Bus Performance Description Risetime 90% v(t) (0.8) VDD VDD 10% t rise = (0.8) VDD slewrate t Performance Model for Inter-chip Busses 20

21 Analytical Model Bus Performance Description Minimum Unit Interval DATA DATA DATA UI UI min = (1.5) trise = 1 DR max Performance Model for Inter-chip Busses 21

22 Analytical Model Bus Performance Description Bus Throughput DATA DATA DATA DATA DATA DATA Tx WBUS Rx DATA DATA DATA TP = W DR max BUS max Performance Model for Inter-chip Busses 22

23 Bus Performance Limits Analytical Model P S S G S S P S S G S S P S S G S S P SIG SIG GND SIG SIG L11 L11 : Self Inductance of Ground Path V bnc self di Wbus 1 = L11 1 dt Performance Model for Inter-chip Busses 23

24 Bus Performance Limits Analytical Model P S S G S S P S S G S S P S S G S S P SIG SIG GND SIG SIG M12 M12 M1k M13 M13 : Mutual Inductance Between Pins Wbus dik Vbnc = M couple 1k 2 dt Performance Model for Inter-chip Busses 24

25 Bus Performance Limits Analytical Model Maximum Acceptable Ground Bounce v(t) p VDD VDD V NOISE = p V bnc MAX DD t (ptypical = 5%) Performance Model for Inter-chip Busses 25

26 Model Development Maximum Ground Bounce Analytical Model W W bus bus L 11 di di Vgnd bnc = p VDD = + M 1k N g dt k = 2 dt Self Contribution Coupling Contribution Performance Model for Inter-chip Busses 26

27 Model Development Maximum Slewrate Analytical Model dv pv = dt W L DD Z load Wbus max bus 11 + N g k = 2 M 1k - pull out (di/dt) - convert to (dv/dt) Performance Model for Inter-chip Busses 27

28 Model Development Minimum Risetime Analytical Model t rise min = W L N W bus bus 11 ( 0.8) + ( M ) g p Z load k = 2 1k - convert slewrate to risetime Performance Model for Inter-chip Busses 28

29 Model Development Maximum Datarate DR max = Analytical Model Wbus bus 11 + N g k = 2 ( 1.5) ( 0.8) p Z W load L M 1k - convert Risetime to Datarate Maximum Throughput TP = W DR max BUS max Performance Model for Inter-chip Busses 29

30 Experimental Results SPICE Simulations were Performed on Three Packages QFP Wire Bond BGA Wire Bond BGA Flip-Chip Performance Model for Inter-chip Busses 30

31 Experimental Results QFP Wire-Bond Package Simulations Per-Pin Data-Rate Bus Throughput Model Simulation - Throughput reaches an asymptotic limit as channels are added Performance Model for Inter-chip Busses 31

32 Experimental Results BGA Wire-Bond Package Simulations Per-Pin Data-Rate Bus Throughput - Level 1 : BGA Increases Performance Over QFP Performance Model for Inter-chip Busses 32

33 Experimental Results BGA Flip-Chip Package Simulations Per-Pin Data-Rate Bus Throughput - Level 2: Flip-Chip Increases Performance Over Wire-Bond Performance Model for Inter-chip Busses 33

34 Experimental Results Cost Must Also Be Considered in Analysis Bandwidth Per Cost BPC TP = 6 Costbus 1e Units = (Mb/$) This Metric Represents Cost Effectiveness of the Bus Performance Model for Inter-chip Busses 34

35 Cost per Bus Configuration Experimental Results $ Performance Increases with Cost (Package, SPG) Performance Model for Inter-chip Busses 35

36 Bandwidth Per Cost Results Experimental Results Faster Narrower Busses = More Cost Effective Performance Model for Inter-chip Busses 36

37 Example PACKAGE - Rent s Rule IC Core - Moore s Law On-Chip - 8 bit Data Bus Mb/s Package - Need (8)(300M) = 2400 Mb/s Performance Model for Inter-chip Busses 37

38 Example Need: 2400 Mb/s X X X X QFP Wire Bond BGA Wire Bond BGA Flip-Chip - 4 bits wide, SPG=2:1:1-1 bit wide, SPG=2:1:1-1 bit wide, SPG=2:1:1-16 bits wide, SPG=4:1:1-1 bit wide, SPG=4:1:1-1 bit wide, SPG=8:1:1 Performance Model for Inter-chip Busses 38

39 Example Cost of Each Bus Configuration Most Cost Effective: -BGA-WB -Wbus = 1 -SPG = 2:1:1 Performance Model for Inter-chip Busses 39

40 Example Bandwidth-per-Cost of Each Bus Configuration Higher BPC = More Headroom Performance Model for Inter-chip Busses 40

41 Summary 1) Package Noise Limits System VLSI Performance 2) An Analytical Model was Presented to Predict Bus Performance 3) Datarate Approaches an Asymptotic Limit as Channels are Added 4) Throughput Can be Achieved Using Different Bus Configurations Performance Model for Inter-chip Busses 41

42 Questions? Performance Model for Inter-chip Busses 42

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App Chong Min-Jie Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Page Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Min-Jie Chong HPS Product Manager & Planner Oscilloscope

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

ProASIC3/E SSO and Pin Placement Guidelines

ProASIC3/E SSO and Pin Placement Guidelines ProASIC3/E SSO and Pin Placement Guidelines Introduction SSO Effects Ground bounce and VCC bounce have always been present in digital integrated circuits (ICs). With the advance of technology and shrinking

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

Simulation Using IBIS Models and Pin Mapping Issues

Simulation Using IBIS Models and Pin Mapping Issues Power/Gnd Simulation Using IBIS Models and Pin Mapping Issues Raj Raghuram Sigrity, Inc. IBIS Summit Meeting, Sep. 13, 2001 2/14/96 Outline Motivation automated Power/Gnd simulation Example of Power/Gnd

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Basic Network-on-Chip (BANC) interconnection for Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Abderazek Ben Abdallah, Masahiro Sowa Graduate School of Information

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

C Program Adventures. From C code to motion

C Program Adventures. From C code to motion C Program Adventures From C code to motion ECE 100 Prof. Erdal Oruklu From C code to motion C Code Motion x=5; if (x!=y) { z=0; } else { z=1; } 1 Compilation of C code Virtual machine program Program download

More information

HT Sound Generator

HT Sound Generator 6-Sound Generator Features Single power supply: 2.4V~4.5V Low standby current: 1µA (Typ.) at V DD=3V Auto power-off function Six different sound sections K1 to K6 independently chosen or as a cascade control

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 2 high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4-2 (ESD) ±15kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) (5/50ns) Level-3, 20A for I/O, 40A for

More information

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions The Gold Standard for Parasitic Extraction and Signal Integrity Solutions Critical Net Extraction and Analysis Full 3D seamless field solution High accuracy extraction Extracts net, tree, or entire path

More information

IEC (EFT) 40A

IEC (EFT) 40A Features ESD Protect for high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4- (ESD) ±1kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) 40A (/0ns) IEC 61000-4- (Lightning) 1A (8/0µs)

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

AN-715 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/

AN-715 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/ APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/326-8703 www.analog.com A First Approach to IBIS Models: What They Are and How They Are Generated by

More information

RS485 3 click. How does it work? PID: MIKROE-2821

RS485 3 click. How does it work? PID: MIKROE-2821 RS485 3 click PID: MIKROE-2821 RS485 3 click is an RS422/485 transceiver Click board, which can be used as an interface between the TTL level UART and the RS422/485 communication bus. It features a full-duplex

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT

UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT SERIAL-INTERFACED 10-DIGIT LED CONTROLLER IC WITH KEYSCAN DESCRIPTION The UL318 is a compact LED controller and driver that interface

More information

VLSI AppNote: VSx053 Simple DSP Board

VLSI AppNote: VSx053 Simple DSP Board : VSx053 Simple DSP Board Description This document describes the VS1053 / VS8053 Simple DPS Board and the VSx053 Simple DSP Host Board. Schematics, layouts and pinouts of both cards are included. The

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

MAS9278 IC for MHz VCXO

MAS9278 IC for MHz VCXO IC for 10.00 30.00 MHz XO Low Power Wide Supply Voltage Range True Sine Wave Output Very High Level of Integration Integrated Varactor Electrically Trimmable Very Low Phase Noise Low Cost DESCRIPTION The

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Bootstrap Circuitry Selection for Half-Bridge Configurations

Bootstrap Circuitry Selection for Half-Bridge Configurations Application Report Bootstrap Circuitry Selection for Half-Bridge Configurations Mamadou Diallo, High Power Drivers ABSTRACT Driving MOSFETs in half-bridge configurations present many challenges for designers.

More information

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8882 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, MDDI, USB, SATA, and Gigabit Ethernet

More information

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Ordering number : ENN6370A CMOS IC LC75808E, 75808W 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Overview The LC75808E and LC75808W are 1/8 to 1/10 duty LCD display drivers that can directly

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features Description RailClamps are surge rated diode arrays designed to protect high speed data interfaces. The RClamp series has been specifically designed to protect sensitive components which are connected

More information

A Zero Wait State Secondary Cache for Intel s Pentium

A Zero Wait State Secondary Cache for Intel s Pentium Order this document by MCM/D A Zero Wait State Secondary Cache for Intel s Pentium Prepared by: Michael Peters, FSRAM Applications Engineer Due to the increased complexity and sheer memory size requirements

More information

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan 1 Outline: 3D Wireless NoC Designs This part also explores 3D NoC architecture with inductive-coupling

More information

A Proposal for Developing S2IBISv3

A Proposal for Developing S2IBISv3 A Proposal for Developing S2IBISv3 Paul Franzon Michael Steer Automated Design Tools for Integrated Mixed Signal Microsystems (NeoCAD) Outline Background DARPA Program NeoCad Program Objectives Program

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information

Pi-Tek OLED Module PG12864KW Revision: 1.0 May Pi Tek. OLED Module SPECIFICATIONS MODEL NO. : PG12864KW PRODUCT TYPE: STANDARD

Pi-Tek OLED Module PG12864KW Revision: 1.0 May Pi Tek. OLED Module SPECIFICATIONS MODEL NO. : PG12864KW PRODUCT TYPE: STANDARD Pi Tek OLED Module SPECIFICATIONS MODEL NO. : PG12864KW PRODUCT TYPE: STANDARD This specification may be changed without any notices in order improve performance or quality etc. 1 Content History of versions

More information

2. Control Pin Functions and Applications

2. Control Pin Functions and Applications IMARY CONTROL ( PIN) Module Enable / Disable. The module can be disabled by pulling the below 2.3 V with respect to the Input. This should be done with an open-collector transistor, relay, or optocoupler.

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman. Digital Integrated Circuits (83-313) Lecture 7: SRAM Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 16 May 2017 Disclaimer: This course was prepared, in its entirety, by

More information

ILI2312. ILI2312 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.03.

ILI2312. ILI2312 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.03. Single Chip Capacitive Touch Sensor Controller Specification Version: V1.03 Date: 2015/11/17 ILI TECHNOLOGY CORP. 8F, No.38, Taiyuan St., Jhubei City, Hsinchu County 302, Taiwan, R.O.C. Tel.886-3-5600099;

More information

ILI2511. ILI2511 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.4. Date: 2018/7/5

ILI2511. ILI2511 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.4. Date: 2018/7/5 Single Chip Capacitive Touch Sensor Controller Specification Version: V1.4 Date: 2018/7/5 ILI TECHNOLOGY CORP. 8F., No.1, Taiyuan 2 nd St., Zhubei City, Hsinchu County 302, Taiwan (R.O.C.) Tel.886-3-5600099;

More information

Trends in Digital Interfaces for High-Speed ADCs

Trends in Digital Interfaces for High-Speed ADCs Trends in Digital Interfaces for High-Speed ADCs Robbie Shergill National Semiconductor Corp. INTRODUCTION The analog-to-digital converter is a critical component in many of the most demanding applications

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 11 Embedded Processors - II Version 2 EE IIT, Kharagpur 2 Signals of a Typical Microcontroller In this lesson the student will

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

GRIDCHIP GC3355 DATASHEET GRIDCHIP 2013/11/28

GRIDCHIP GC3355 DATASHEET GRIDCHIP 2013/11/28 GRIDCHIP GC3355 DATASHEET GRIDCHIP 2013/11/28 1 GENERAL DESCRIPTION GC3355 is high performance and low power SHA256 processor designed by GRIDCHIP. With advanced technology and highly integrated design,

More information

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Ramachandra Achar Carleton University 5170ME, Dept. of Electronics Ottawa, Ont, Canada K1S 5B6 *Email: achar@doe.carleton.ca;

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Interconnect Impedance Measurements, Signal Integrity Modeling, Model Validation, and Failure Analysis. IConnect TDR Software.

Interconnect Impedance Measurements, Signal Integrity Modeling, Model Validation, and Failure Analysis. IConnect TDR Software. Rev. 8/27/21 Interconnect Impedance Measurements, Signal Integrity Modeling, Model Validation, and Failure Analysis IConnect TDR Software TDA Systems, Inc. www.tdasystems.com Outline TDR Impedance Measurements

More information

DesignCon 2005 Track 5: Chip and Board Interconnect Design (5-TA2)

DesignCon 2005 Track 5: Chip and Board Interconnect Design (5-TA2) DesignCon 2005 Track 5: Chip and Board Interconnect Design (5-TA2) Connector-Less Probing: Electrical and Mechanical Advantages Authors/Presenters: Brock LaMeres, Agilent Technologies Brent Holcombe, Agilent

More information

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning. This device

More information

3.3 Volt CMOS Bus Interface 8-Bit Latches

3.3 Volt CMOS Bus Interface 8-Bit Latches Q 3.3 Volt CMOS Bus Interface 8-Bit Latches QS74FCT3373 QS74FCT32373 FEATURES/BENEFITS Pin and function compatible to the 74F373 JEDEC spec compatible 74LVT373 and 74FCT373T IOL = 24 ma Com. Available

More information

USB-to-I2C. Ultra Hardware User s Manual.

USB-to-I2C. Ultra Hardware User s Manual. USB-to-I2C Ultra Hardware User s Manual https://www.i2ctools.com/ Information provided in this document is solely for use with the USB-to-I2C Ultra product from SB Solutions, Inc. SB Solutions, Inc. reserves

More information

Description. Features. Application. Ordering information

Description. Features. Application. Ordering information Description APAC QSFP28 Active Optical Cable (AOC) product is a new high speed pluggable I/O interface products. This interconnecting module offers 4 channels and maximum bandwidth of 100Gbps. This module

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC Ordering number : ENN7632 CMOS IC LC75700T Key Scan IC Overview The LC75700T is a key scanning LSI that accepts input from up to 30 keys and can control up to four generalpurpose output ports. Therefore

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

HT CMOS 2K 8-Bit SRAM

HT CMOS 2K 8-Bit SRAM CMOS 2K 8-Bit SRAM Features Single 5V power supply Low power consumption Operating: 400mW (Typ.) Standby: 5µW (Typ.) 70ns (Max.) high speed access time Power down by pin CS TTL compatible interface levels

More information

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN 200mΩ Power Distribution Switches Features 200mΩ Typ. High-Side MOSFET 0.8A Current Limit (V IN =3.0V) Wide Input Voltage Range: 2V ~ 5.5V Soft Start Thermal Protection Small SOT-23-5 Package Minimizes

More information

TS04. 4-Channel Self Calibration Capacitive Touch Sensor SPECIFICATION V2.0

TS04. 4-Channel Self Calibration Capacitive Touch Sensor SPECIFICATION V2.0 TS4 4-Channel Self Calibration Capacitive Touch Sensor SPECIFICATION V2. Specification TS4 (4-CH Auto Sensitivity Calibration Capacitive Touch Sensor). General Feature 4-Channel capacitive sensor with

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 13 Memory and Interfaces 2005-3-1 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last

More information

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621 企业网站.zxlcd.com

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621   企业网站.zxlcd.com http://wwwzxlcdcom 4 SEG / 6 COM DRIVER & CONTROLLER FOR DOT MATRIX LCD June 2 Ver Contents in this document are subject to change without notice No part of this document may be reproduced or transmitted

More information

RS485 board datasheet EB062-00

RS485 board datasheet EB062-00 RS485 board datasheet EB062-00 00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix 1 Circuit diagram

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

INPAQ Global RF/Component Solutions

INPAQ Global RF/Component Solutions TVL SC7 4 AC Specification Product Name Series Part No Package Size Transient Voltage Suppressor TVS Series TVL SC7 4 AC SC7-6L TVL SC7 4 AC Engineering Specification 1. Scope TVL SC7 4 AC s are TVS arrays

More information

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN CH. Maxim Integrated Products 1

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN CH. Maxim Integrated Products 1 9-675; Rev ; 4/ 32-Channel Sample/Hold Amplifier General Description The MAX567 contains 32 sample-and-hold amplifiers driven by a single multiplexed input. The control logic addressing the outputs is

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Win-I2CUSB Hardware User s Manual

Win-I2CUSB Hardware User s Manual Win-I2CUSB Hardware User s Manual http://www.demoboard.com Information provided in this document is solely for use with the Win-I2CUSB product from The Boardshop. The Boardshop and SB Solutions, Inc. reserve

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

NUF2221W1T2. USB Upstream Terminator with ESD Protection

NUF2221W1T2. USB Upstream Terminator with ESD Protection Upstream Terminator with ESD Protection This device is designed for applications requiring Line Termination, EMI Filtering and ESD Protection. It is intended for use in upstream ports, Cellular phones,

More information