Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1

Size: px
Start display at page:

Download "Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1"

Transcription

1 RATS (Reliability and Testability Seminar) Diagnosis of Defects Introducing Voltage Dependences between Nodes By François-Fabien Ferhani 5/27/2003 Ferhani, RATS/SPRING03 Outline Introduction Problems & Solutions Implementation & Results Conclusion 5/27/2003 Ferhani, RATS/SPRING03 2 Targeted Defects (Resistive) shorts between 2 signal lines Bridges (Resistive) shorts between a signal line and a power line s Crosstalk? Definition The Failure Trace (FT) of a chip is the list of failing patterns observed on the tester and their corresponding failing pin (also called signature) Failing Pattern Pattern 56 Pattern 89 Pattern 89 Failing Pin Pin 5 Pin 0 Pin 2 5/27/2003 Ferhani, RATS/SPRING03 3 5/27/2003 Ferhani, RATS/SPRING03 4 GOAL Using Failure Trace Fault Free Simulation Fault Simulation No layout information Find the circuit node(s) where the defect is located Collect information about the defect Also called Logic Mapping 5/27/2003 Ferhani, RATS/SPRING03 5 Motivation Yield learning Manufacture many chips (Significant) Portion of failures How to make more good chips? So, collect information about defects Location Behavior s: resistance 5/27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing

2 Motivation Collect information about test sets Which ones detect which defects Outline Introduction Problems & Solutions Implementation & Results Conclusion 5/27/2003 Ferhani, RATS/SPRING03 7 5/27/2003 Ferhani, RATS/SPRING03 8 Diagnosis Methods Stuck-At fault diagnosis [Waicukauski 89] Extensively studied Few defects behave like Stuck- At fault (5% in Elf35) Transition fault diagnosis Diagnosis Methods 2 Bridging Fault Diagnosis IDDQ [Olson 97] Logic Concatenation of stuck-at fault signatures [Millman 90] Stuck-at fault simulation [Wu 2000] 5/27/2003 Ferhani, RATS/SPRING03 9 5/27/2003 Ferhani, RATS/SPRING03 0 Logic Bridging Fault Diagnosis Bridging Fault: between two signal lines If two nodes are shorted their voltages are equal if their fault free values are opposite, one of the nodes will behave like a Stuck At. Logic Bridging Fault Diagnosis First Set of candidates: All nodes pairs Can be reduced Logic simulation [Ferhani 02] For each pair candidate Match fault simulation with failure trace [Wu 2000] Match fault dictionary with failure trace [Millman 90] 5/27/2003 Ferhani, RATS/SPRING03 5/27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 2

3 Problem Problem Different gates have different thresholds Equal or nearly equal voltages on the two bridged nodes can be interpreted differently 5/27/2003 Ferhani, RATS/SPRING03 3 5/27/2003 Ferhani, RATS/SPRING03 4 Problem Problem 2 Different gates have different threshold The same voltage at one node can be interpreted differently by different gates Called Byzantine General Problem [Wu 2000] 5/27/2003 Ferhani, RATS/SPRING03 5 5/27/2003 Ferhani, RATS/SPRING03 6 Problems Come from the fact that different gates have different threshold TSMC.35 simulations show Different gates have different thresholds These Thresholds are very close to each others Values around half the power voltage Solutions. Use only failing vectors [Wu 2000] Doesn t get rid of the problem 2. Reduce matching criteria Failing fault simulation PO intersect with Failure trace PO More candidates left after (lowers Diagnosis resolution 5/27/2003 Ferhani, RATS/SPRING03 7 5/27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 3

4 Solutions Example 3. Compute all the faulty voltages Biased voting model [Maxwell 93] Spice simulation [Rearick 93] Resource consuming Assumes we know the defect Resistance Doesn t affect driving and driven gates 5/27/2003 Ferhani, RATS/SPRING03 9 5/27/2003 Ferhani, RATS/SPRING03 20 Example Stuck At Example Look for driving gate input combination where this problem does not occur One of the two nodes clearly wins the fight Check the coherence with all the cases where the node should dominate 5/27/2003 Ferhani, RATS/SPRING03 2 5/27/2003 Ferhani, RATS/SPRING03 22 Look for failing vectors that match fault simulation No intermediate voltage problem Know when intermediate voltage problems do not occur Can use all the vectors Failing Non-failing Characteristics Use all the vectors Not only non failing Know when to expect exact match Does not assume anything Except that opening an extra pull up/down will raise/lower the voltage Can collect information about the defect: When does one node dominate the other: Wand, Wor 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 4

5 Characteristics Simple No need for computation Might not be enough vectors Even if we use all the test vectors Does not work for feedback shorts Does not take into account previous values Difference from Drive Strength Simple No need for computation Does not assume: Resistance value Perfect gates 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 26 Resistance Value If we assume the defect is just a short Can extract resistance value Outline Introduction Problems & Solutions Implementation & Results Conclusion 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 28 ISCAS 85 C7 Fault Injection Inject 50 Ohms shorts Between 2 nodes Between one node and power rail nodes, 6Gates S@ S@0 55 Bridge Fault 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 5

6 Simulation Apply exhaustive patterns Use SPICE to get failure trace TSMC.35 process Diagnosis Flow Step Pruning Logic Simulation Step 2 Fault Simulation Failing Vectors Only Step 3 Fault Simulation All Vectors 5/27/2003 Ferhani, RATS/SPRING03 3 5/27/2003 Ferhani, RATS/SPRING03 32 Step Step Candidate List Pruning Fault Free Logic Simulation [Ferhani Win02] Stuck-At candidates Nodes having same values for all failing vectors Bridging Pairs of nodes having opposite fault free values ford all failing vectors G6 Vdd G8 G9 G8 G5 G7 G5 G9 G7 G6 G % Reduction G6 G2 G7 G2 G2 G9 G2 Vdd G9 Gnd G9 Vdd % Reduction /27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 34 Step 2 Step 2 Try to match Failure trace with fault simulation For each failing vector: Stuck-at candidate: Simulate faulty value Bridge candidate Simulate one node stuck at, the other, and both Only keep the best match For each candidate Remember for which vectors the failure trace matched exactly fault simulation No intermediate voltage problem G6 Vdd G8 G9 G8 G5 G7 G5 G9 G7 G6 G % Reduction G6 G2 G7 G2 G2 G9 G2 Vdd G9 Gnd G9 Vdd % Reduction /27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 6

7 The equivalence problem behavior is equivalent to G6 Vdd G8 G9 G8 G5 Behaviour Wand 2 PMOS for s@ G6 G2 G7 G2 G2 G9 G7 G5 G2 Vdd S@ G9 G7 G9 Gnd S@0 G6 G8 G9 Vdd S@ 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 38 Step 3 All the gates in the C7 are the same No threshold problem The proposed solution still represents an improvement Can use non failing vectors Better diagnosis resolution Outline Introduction Problems & Solutions Implementation & Results Conclusion 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 40 Resistance Value In the experiment, all resistances are 50 Ohms. Most of the resistance < 00 Ohms [Rodriguez- Montanes 92] Uniformly distributed between 0 and 40k Transition faults There are 3 behaviors of a short depending on the resistance value: Logic failure under a certain value R Timing failure between R and R2 No failure above R2 5/27/2003 Ferhani, RATS/SPRING03 4 5/27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 7

8 Transition Faults Transition Fault 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 44 Conclusion Keeping track of the candidate behavior Eliminates more candidates Collects information about defect Whether there is an intermediate voltage problem or not Research Resistance Value Transition Fault Experiment Implement Step 3 Simulate Elf CUT Elf Diagnosis Future Work 5/27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING03 46 References Waicukauski, Lindbloom Failure Diagnosis of Structures VLSI IEEE Design & Test of Computers, August 989 Pages: Michael Olson, Xiaoling Sun Single Briddging Fault Diagnosis for CMOS Circuit CCECE, 997. Pages: S.D. Millman, E.J. McCluskey, J.M. Acken Diagnosing CMOS bridging faults with stuck-at fault dictionaries IEEE Int. Test Conf. proceedings, 990, Pages: References Jue Wu and Elizabeth M. Rudnick. Bridge Fault Diagnosis Usinf Stuck-At-Fault Simulation IEEE Transactions on CAD of integrated circuits and systems, Vol. 9, No 4, April 2000 Francois-Fabien Ferhani Pruning fault candidates using logic simulation Rel. and Testabil. Seminar, CRC- Stanford University, Winter Peter C. Maxwell, Robert C. Aitken Biased Voting: A Method for Simulating CMOS Bridging Faults in the Presence of Variable Gate Logic Thresholds IEEE Int. Test Conf. proceedings, 993, Pages: /27/2003 Ferhani, RATS/SPRING /27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 8

9 References Jeff Rearick, Janak H. Patel Fast and Accurate CMOS bridging Fault Simualtion IEEE Int. Test Conf. proceedings, 993, Pages: R. Rodriguez-Montanes, E. M. J. G. Bruls, J. Figueras Bridging Defects Resistance Mesaurement in a CMOS Process IEEE Int. Test Conf. proceedings, 992, Pages: /27/2003 Ferhani, RATS/SPRING , Center for Reliable Computing 9

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX s Abstract While previous research has focused on deterministic testing of bridging faults, this paper studies pseudo-random testing of bridging faults and describes a means for achieving high fault coverage

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

Net Diagnosis Using Stuck-at and Transition Fault Models. Lixing Zhao

Net Diagnosis Using Stuck-at and Transition Fault Models. Lixing Zhao Net Diagnosis Using Stuck-at and Transition Fault Models by Lixing Zhao A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method Prof. R.H. Khade 1 and Mr. Swapnil Gourkar 2 1 Associate Professor, Department of Electronics Engineering, Pillai Institute of

More information

AN EFFICIENT LOGIC FAULT DIAGNOSIS FRAMEWORK BASED ON EFFECT-CAUSE APPROACH. A Dissertation LEI WU

AN EFFICIENT LOGIC FAULT DIAGNOSIS FRAMEWORK BASED ON EFFECT-CAUSE APPROACH. A Dissertation LEI WU AN EFFICIENT LOGIC FAULT DIAGNOSIS FRAMEWORK BASED ON EFFECT-CAUSE APPROACH A Dissertation by LEI WU Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Defect Localization Using Physical Design and Electrical Test Information

Defect Localization Using Physical Design and Electrical Test Information Defect Localization Using Physical Design and Electrical Test Information Zoran Stanojevic Dept. of Electrical Engineering Texas A&M University College Station TX 77843 Tel: (979) 862-6610 Fax: (979) 847-8578

More information

EDA Tool Development to Support the Design and. Certification of Fail-Safe Products

EDA Tool Development to Support the Design and. Certification of Fail-Safe Products EDA Tool Development to Support the Design and Certification of Fail-Safe Products F.M. Gonçalves, M.B. Santos, I.C. Teixeira and J.P. Teixeira IST / INESC-ID, Rua Alves Redol, 9, 1000-029 Lisboa, Portugal

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

Computer-Aided Fault to Defect Mapping (CAFDM) for Defect Diagnosis

Computer-Aided Fault to Defect Mapping (CAFDM) for Defect Diagnosis Computer-Aided Fault to Defect Mapping (CAFDM) for Defect Diagnosis Zoran Stanojevic Dept. of Electrical Engineering Texas A&M University College Station TX 77843-3124 Tel: (979) 862-6610 Fax: (979) 847-8578

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs

VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs F. Celeiro, L. Dias, J. Ferreira, M.B. Santos, J.P. Teixeira INESC / IST, Apartado 13069, 1017 Lisboa Codex, Portugal jct@spirou.inesc.pt

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Incremental Diagnosis of Multiple Open-Interconnects

Incremental Diagnosis of Multiple Open-Interconnects Incremental Diagnosis of Multiple Open-Interconnects J Brandon Liu, Andreas Veneris University of Toronto, Department of ECE Toronto, ON M5S 3G4, Canada {liuji, veneris}@eecgutorontoca Hiroshi Takahashi

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

On Using Design Partitioning To Reduce Diagnosis Memory Footprint

On Using Design Partitioning To Reduce Diagnosis Memory Footprint 0 Asian Test Symposium On Using Design Partitioning To Reduce Diagnosis Memory Footprint Xiaoxin Fan, Huaxing Tang, Sudhakar M. Reddy, Wu-Tung Cheng and Brady Benware. Department of ECE. Mentor Graphics,

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

AFault Diagnosis Methodology for the UltraSPARC TM -I. Sridhar Narayanan, Rajagopalan Srinivasan, Ramachandra P. Kunda,

AFault Diagnosis Methodology for the UltraSPARC TM -I. Sridhar Narayanan, Rajagopalan Srinivasan, Ramachandra P. Kunda, AFault Diagnosis Methodology for the UltraSPARC TM -I Microprocessor Sridhar Narayanan, Rajagopalan Srinivasan, Ramachandra P. Kunda, Marc E. Levitt and Saied Bozorgui-Nesbat Sun Microsystems Inc. Mountain

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 664 A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods Debasmita Hazra Abstract- This

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load Testability Insertion in Behavioral Descriptions Frank F. Hsu Elizabeth M. Rudnick Janak H. Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract A new synthesis-for-testability

More information

Fault diagnosis of VLSI designs: cell internal faults and volume diagnosis throughput

Fault diagnosis of VLSI designs: cell internal faults and volume diagnosis throughput University of Iowa Iowa Research Online Theses and Dissertations Fall 2012 Fault diagnosis of VLSI designs: cell internal faults and volume diagnosis throughput Xiaoxin Fan University of Iowa Copyright

More information

Systematic Hardware Platform Selection - Introduction to Embedded Systems-

Systematic Hardware Platform Selection - Introduction to Embedded Systems- Systematic Hardware Platform Selection - Introduction to Embedded Systems- Dipl.- Ing. Falk Salewski Lehrstuhl Informatik XI RWTH Aachen salewski@informatik.rwth-aachen.de Winter term 06/07 Remember: What

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures Hongxia Fang 1, Zhiyuan Wang 2, Xinli Gu 2 and Krishnendu Chakrabarty 1 1 ECE Dept., Duke University, Durham, NC,

More information

Binning of Devices with X-IDDQ

Binning of Devices with X-IDDQ Binning of Devices with X-IDDQ Prasanna M. Ramakrishna Masters Thesis Graduate Committee Dr. Anura P. Jayasumana Adviser Dr. Yashwant K. Malaiya Co-Adviser Dr. Steven C. Reising Member Dept. of Electrical

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

BRIDGING FAULT DIAGNOSIS IN CMOS CIRCUITS

BRIDGING FAULT DIAGNOSIS IN CMOS CIRCUITS University of Alberta BRIDGING FAULT DIAGNOSIS IN CMOS CIRCUITS by Michael Olson A thesis submitted to the Faculty of Graduate Studies and Research in partial fulfillment of the requirements for the degree

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Using Scan-Dump Values to Improve Functional-Diagnosis Methodology

Using Scan-Dump Values to Improve Functional-Diagnosis Methodology Using Scan-Dump Values to Improve Functional-Diagnosis Methodology Vishnu C Vimjam 1 M Enamul Amyeen 2 Ruifeng Guo 3 Srikanth Venkataraman 2 Michael Hsiao 1 Kai Yang 4 1 ECE Dept, Virginia Tech, Blacksburg,

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

The Influences of Fault Type and Topology on Fault Model Performance and the Implications to Test and Testable Design* M.

The Influences of Fault Type and Topology on Fault Model Performance and the Implications to Test and Testable Design* M. The Influences of Fault Type and Topology on Fault Model Performance and the Implications to Test and Testable Design* Kenneth M. Butler M. Ray Mercer Dept. of Electrical and Computer Engineering The University

More information

Power Gated Match Line Sensing Content Addressable Memory

Power Gated Match Line Sensing Content Addressable Memory International Journal of Embedded Systems, Robotics and Computer Engineering. Volume 1, Number 1 (2015), pp. 1-6 International Research Publication House http://www.irphouse.com Power Gated Match Line

More information

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A New Scan Chain Fault Simulation for Scan Chain Diagnosis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 221 A New Scan Chain Fault Simulation for Scan Chain Diagnosis Sunghoon Chun, Taejin Kim, Eun Sei Park, and Sungho Kang Abstract

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters ECE 7502 Class Discussion Ningxi Liu 14 th Apr 2015 ECE 7502 S2015 Customer Validate Requirements Verify Specification

More information

Circuit Partitioning for Application-Dependent FPGA Testing

Circuit Partitioning for Application-Dependent FPGA Testing Circuit Partitioning for Application-Dependent FPGA Testing by Rui Zhen Feng B.Eng, Hefei University of Technology, 1996 A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Self-Checking Fault Detection using Discrepancy Mirrors

Self-Checking Fault Detection using Discrepancy Mirrors Manuscript to Appear in the 2005 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 05), June 2005, Las Vegas, Nevada. Copyright and all rights therein are

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Experience in Critical Path Selection For Deep Sub-Micron Delay Test and Timing Validation

Experience in Critical Path Selection For Deep Sub-Micron Delay Test and Timing Validation Experience in Critical Path Selection For Deep Sub-Micron Delay Test and Timing Validation Jing-Jia Liou, Li-C. Wang, Angela Krstic, and Kwang-Ting Cheng University of California at Santa Barbara Abstract

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

Brian Chess. Tracy Larrabee. University of California, Santa Cruz the wired-and model. analog circuit analysis

Brian Chess. Tracy Larrabee. University of California, Santa Cruz the wired-and model. analog circuit analysis ridge Fault Simulation Strategies for MOS Integrated ircuits rian hess Tracy Larrabee omputer Engineering oard of Studies University of alifornia, Santa ruz 95064 bstract fter introducing the Primitive

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES. A Thesis SHAISHAV PARIKH

TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES. A Thesis SHAISHAV PARIKH TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES A Thesis by SHAISHAV PARIKH Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the

More information

Delay Fault Diagnosis Using Timing Information

Delay Fault Diagnosis Using Timing Information Delay Fault Diagnosis Using Timing Information Zhiyuan Wang 1 Malgorzata Marek-Sadowska 1 Kun-Han Tsai 2 Janusz Rajski 2 1 Department of Electrical and Computer Engineering 2 Mentor Graphics Corporation

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

Delay and Optimization of Random Number Generator

Delay and Optimization of Random Number Generator International Journal of Scientific and Research Publications, Volume 2, Issue 3, March 2012 1 Delay and Optimization of Random Number Generator Atul Kumar Dewangan 1, Nibedita Chakraborty 2, Smriti Dewangan

More information

Art of Layout Euler s path and stick diagram. Kunal Ghosh

Art of Layout Euler s path and stick diagram. Kunal Ghosh Art of Layout Euler s path and stick diagram Kunal Ghosh I wrote about Euler s path and stick diagram in two different blogs, but now is the time to show you how are they connected. It s simple and, seems,

More information

Efficient RT-level Fault Diagnosis Methodology

Efficient RT-level Fault Diagnosis Methodology Efficient RT-level Fault Diagnosis Methodology ABSTRACT Increasing IC densities necessitate diagnosis methodologies with enhanced defect locating capabilities. Yet the computational effort expended in

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

PARAMETRIC TROJANS FOR FAULT-BASED ATTACKS ON CRYPTOGRAPHIC HARDWARE

PARAMETRIC TROJANS FOR FAULT-BASED ATTACKS ON CRYPTOGRAPHIC HARDWARE PARAMETRIC TROJANS FOR FAULT-BASED ATTACKS ON CRYPTOGRAPHIC HARDWARE Raghavan Kumar, University of Massachusetts Amherst Contributions by: Philipp Jovanovic, University of Passau Wayne P. Burleson, University

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information