Shift Invert Coding (SINV) for Low Power VLSI

Size: px
Start display at page:

Download "Shift Invert Coding (SINV) for Low Power VLSI"

Transcription

1 Shift Invert oding (SINV) for Low Power VLSI Jayapreetha Natesan* and Damu Radhakrishnan State University of New York Department of Electrical and omputer Engineering New Paltz, NY, U.S. bstract Low power VLSI circuit design is one of the most important issues in present day technology. One of the ways of reducing power in a MOS circuit is to reduce the number of on the bus and Bus Invert oding is a widely popular technique for that. In this paper we introduce a new way of coding called the ShiftInv oding that is superior to the bus invert coding technique. Our simulation results show a considerable reduction on the number of over and above that obtained with bus invert coding. Further, the proposed technique requires only 2 extra bits for the low power coding, regardless of the bit-width of the bus and does not assume anything about the nature of the data.. Introduction With ever increasing complexity of VLSI circuits and an increased focus on mobile computing, low power design techniques have become a must for all aspects of digital design. Over the past few years, a number of coding schemes have been proposed for reducing the on a bus. For data buses, one popular coding scheme is the bus invert coding technique []. This is a suitable technique for uncorrelated data patterns. probability based mapping technique is proposed by Ramprasad et.al [2] for patterns with non-uniform probability densities. For instruction address buses, Gray code [3], T code [4], the Beach code [5] and inc-xor [2] code have been proposed. Other variants of the bus invert coding include a partial bus coding technique [7] and the decomposition approach [6]. Both these techniques have an area overhead to determine the suitable partition of the data bus. In addition, the decomposition approach [6] can require up to p- extra lines on the bus where p is the number of partitions of the original data bus. The partial bus invert coding [7] has the limitation that in-spite of the additional hardware, it might not utilize the *uthor currently with IBM, NY bus invert coding for a subset of the bus lines, there by producing sub-optimal results. Further, the partial bus invert coding requires that one has the information apriori of the sequence of the memory address patterns on the bus. In this work, we propose a simple yet efficient improvement to the Bus Invert oding technique. The proposed technique does not have any additional area overhead in determining the transition correlations and transition probabilities. It does not need any prior knowledge of the address patterns. The data on the bus can be uncorrelated and completely random, just as was the case with the original bus invert coding. The number of extra bus lines needed by this method is always 2, regardless of the bit-width of the bus. This paper is organized as follows. We first propose the terminology used in this paper in Section 2. The basics of Bus Invert oding appear in Section 3 followed by details of the proposed technique ShiftInv oding in Section 4. Section 5 details a hardware implementation for the proposed technique. The simulation results for a number of test cases are presented in Section 6 followed by conclusions and suggestions for future work in Section Terminology The following terminology is used in this paper. Let D = d w-, d w-2 d represent a binary data string of width w. The data string at any time instant k can be represented as, D k = d w- k, d w-2 k, d k. Let B k be the data transmitted on the bus at time k. Note that the bit width w of the bus (i.e., the encoded data that gets transmitted on the bus) could be greater than w depending on the coding scheme used. For instance, in default Bus Invert oding [], w = w +. For variations of Bus Invert oding, w can be greater than w+. In any coding scheme based on bus inversion, the value of the i th bit, b i on the bus will be either the data value d i or -d i. Thus, for all i, <= i <w, b i = d i, uninverted bit OR b i = - d i, inverted bit.

2 3. Bus Invert oding The basic idea behind Bus Invert oding [] originated by noting that a lot of power is wasted during data transmission in off-chip bus lines. This is due to the switching of the high capacitance lines, Therefore power could be saved by minimizing the number of occurring on these bus lines. Let N be the number of between the data D k+ at time k+ and the value on the bus B k, i.e., N represents the total number of bit-positions in which the new data and the existing value on the bus differ. Let D k+(inv) be the inverted (INV) data. i.e., for all i, <= i <w, d i = -d i. Let N INV be the number of between D k+(inv) and B k. The Bus Invert oding technique chooses either D k+ or D k+(inv) to be transmitted on the bus. If N <= N INV, then D k+ is transmitted on the bus; otherwise, the inverted data D k+(inv) is sent on the bus. Recently, a number of techniques have been proposed for bus encoding. Most of these techniques either center on the original bus-invert coding scheme or assume some special data conditions. In this paper, we do not assume any special conditions for the data on the bus. We assume the data to be completely random. We propose a technique that further enhances the default Bus Invert oding scheme. 4. Shifting oding The main idea in the proposed technique is to optionally shift the data bits by one bit position (either left-shift or right-shift) if the shifting reduces the number of bus. We define the left- shift operation on a w-bit data as follows. d (LS) i = d i-, d (LS) = d w -. <= i < w, i.e., we perform a circular left-shift. This will guarantee that we do not lose any information from the original data. The right-shift operation is defined similar to the left-shift, as follows. d (RS) i = d i+, d (RS) w - = d. <= i <w -, Example 4. onsider the following example. (ignore the extra bits used in the encoding scheme for a moment) Let B k = (assume a 8-bit bus), and, the new data at time k+, D k+ = ; therefore, the inverted data D k+(inv) = For this example, the number of N between B k and D k+ is 5. In the case of Bus Invert oding, we would try to see whether it is beneficial (i.e., whether the number of to and to are reduced) to send D k+(inv) over the bus. The number of N INV between B k and D k+(inv) is 3. Since N INV < N, in the Bus Invert oding technique, D k+(inv) will be sent over the bus at time k+. Now, let us see what happens when we left-shift the data D k+ once, as defined above. We denote the left-shifted data at time k+ as D k+(ls). We see that, D k+(ls) =. omparing to, B k =, the number of N LS between B k and D k+(ls) is just, which is better than the 3 that one gets from the inverted data D k+(inv). Thus, in this case, it is clear that by sending the left-shifted data, we can reduce the number of even further than the reduction obtained from sending the inverted data. The rationale behind using the shift operation is simple. By shifting the data at time k+, it is possible that the bit values could match in more places with the existing data on the bus at time k than if the data bits were either inverted or left unchanged. The matching of bits in more places implies fewer, thereby giving a better solution. The above example illustrates how a left-shift operation is better than inversion. Similarly, one can construct examples to show how a right-shift operation on the data reduces the number of. Thus, we conclude that one can further reduce the number of either by performing a leftshift or a right-shift operation.

3 It is obvious that shifting left or right will not always reduce the number of. Depending on the values of B k and D k+, it is possible that either the inverted data D k+(inv) or may be even the unmodified/original data D k+ gives the least when sent on the bus. For each new data that needs to be sent over the bus, we evaluate the N, N INV, N LS and N RS between B k and D k+, D k+(inv), D k+(ls), and D k+(rs) respectively. We then choose the encoding that results in the least number of. The steps of the proposed technique can be outlined as below. Procedure ShiftInv() { Input : D k+, B k Output: B K+(SHIFT_INV) } N num_(d k+, B k ) N INV num_(d k+(inv), B k ) N LS num_(d k+(ls), B k ) N RS num_(d k+(rs), B k ) B K+ one of (D k+, D k+(inv), D k+(ls), D k+(rs) ) depending on min(n,n INV,N LS, N RS ) The procedure num_(d,b) returns the number of bit-positions in which the passed in vectors D and B differ. Note that the data that gets sent over the bus, B k+ can be one of D k+, D k+(inv), D k+(ls), D k+(rs). Thus, we need to tag the bus with 2 additional bits that indicate the coding that was used. This will be used to decode the bus value appropriately at the receiving end. Thus, in ShiftInv coding, the width of the bus w = w + 2, where w is the width of the data vector and we use 2 additional bits as compared to additional bit in default Bus Invert oding[]. 5. Hardware Model Figure shows one way of hardware realization for the proposed ShiftInv coding. The inputs to the encoder are D k+ and B k where k denotes the time instance k. For illustration purposes, we show a block-diagram of ShiftInv coding for an 8-bit data. Thus, the bit width of D k+ is 8 and the bit width of B k is since it includes the two control signals ( ) used to indicate the mode of encoding (explained below). The blocks named Pass Through, Left_Shift, Right_Shift and Invert indicate the 4 encoding schemes used in our proposed technique. Each of these blocks has XOR gates. The basic functionality of an XOR gate is to produce an output of whenever the inputs differ thus the XOR gate basically captures the transition between B k and D k+. Pass- Through LK D D k+ D - D Invert D 6 D D Left-Shift O M P R T O R B k Right-Shift Figure. Hardware Model for SHIFTINV oding for 8-bit Data The block Pass Through compares the un-encoded (default) data bits D k+ with the existing value on the bus, B k. The blocks Left-Shift and Right-Shift respectively compare the circular left-shifted and right-shifted data bits with the bus contents B k. Note that the left-shift and right-shift blocks do not require any additional hardware. They can be realized by a mere re-adjustment of the data bits D k+. The block termed Invert is used to get the of the bit inverted original input bits. The inverter shown to the left of the Invert block is an 8-bit inverter. Each of the XOR blocks take 2 -bit inputs and generate one -bit output. One of the 2 -bit inputs is the signal B k on the bus at time instant k. s mentioned earlier, the signal Bk includes the 2 control bits ( ) used to indicate the scheme used at time instant k. The other input to the XOR blocks is the 8-bit data signal D k+ - in some encoded form (depending on the encoding scheme). Note that the bit width of D k+ is only 8, and the other 2 bits would come based on the encoding scheme used at instant k+. Table shows a bit representation to indicate the coding scheme used. For example, if the input data is left-shifted before we send it over the bus, the two control bits will be assigned the value. Likewise, the other bit assignments can be obtained from the table. B D Table. Bit representation for SHIFTINV coding Default (no encoding) Left-Shift Right-Shift Invert B D M U X LK BUS B k+

4 The output bits of each of the XOR ed -bit output are then added using a counter structure -termed in Figure. The block is the hardware version of procedure num_() that is used in procedure ShiftInv() in section 4. The total number of s in the XOR output indicates the number of positions in which the two input vectors differ. The block takes bits as input and generates a 4-bit output that indicates the total number of s in the input. The block can be realized as shown in Figure 2. The will generate an output that can be anywhere in the range [..]. This implies that :4 DDER- will generate a 4-bit output. These 4- bits indicate the total number of on the bus for each type of encoding. Note that in this example, the data bus is assumed to be 8-bits wide and we used an DDER- block that took input bits and generated a 4- bit output. In general, for a w-bit data, we will need a (w+2)- bit DDER- block that generates a [log 2 (w + 2)] bit output. Referring to Figure, the outputs from the four :4 DDER- blocks are sent to a 4-way 4-bit comparator which finds the mode that has the least number of. The comparator then generates the two control bits that indicate the mode chosen for decoding at time instant k+. The encoded data that has the least number of and the control bits are then sent over the bus as B k+. 6. Simulation Results We implemented the proposed ShiftInv coding technique in ++ code. s mentioned earlier, no assumptions on the nature of data on the bus were made. ompletely random values for the data bus D k were generated. The bit-width and the time for simulation were passed as inputs to the ++ program. We also simulated the Bus Invert oding using the same randomly generated data so as to compare the performance of ShiftInv oding with respect to the Bus Invert oding. Table 2 summarizes the results for buses whose widths are a power of 2. For each bit-width pattern, simulation cycles were performed. Bit-width Table 2. Simulation Results for buses with width 2 n (n = 3,4,5,6,7) (default no coding) DEF (BusInv BI Transitions (ShiftInv SINV H It can be seen that in all cases, the ShiftInv coding reduces the average number of bus per simulation cycle considerably from the default (unencoded) scheme. Further more when compared with Bus Invert oding, the ShiftInv coding is clearly the winner. The additional savings is achieved by using just one extra line as compared with default Bus Invert oding achieved by using just one extra line as compared with default Bus Invert oding. H Figure 2. circuit

5 Table 3. Simulation Results for buses with arbitrary width Bit width (default no coding) DEF Table 3. shows the simulation results for buses whose widths are not a power of 2. It is interesting to see that the transition reductions are greater for the buses with arbitrary bus widths than buses whose widths are a power of 2. We believe that the additional savings suggest that the shift operations are inherently well suited for encoding buses with arbitrary widths, which is generally the case for data buses, especially for the on-chip data buses. We can see from the tables as the bus-width increases, the ShiftInv coding results in a larger reduction in the average number of. Thus, as applications go towards 64-bits and beyond, the ShiftInv coding scheme will be more useful than the traditional Bus Invert oding. It should also be noted that the number of extra lines for the ShiftInv coding remains at 2 irrespective of the width of the data bus. We claim that the reduction in the power savings obtained by ShiftInv oding can more than offset this small increase in the hardware requirements. 7. onclusion and Results (BusInv BI Transitions (ShiftInv SINV We presented a new method for bus encoding using left-shift and right-shift operations. This technique is a simple yet efficient scheme that enhances the Bus Invert oding technique. On completely random data, the simulation results suggest that the proposed ShiftInv coding reduces the average number of over and above that given by the wellknown Bus Invert oding technique. The proposed coding scheme does not have too much area overhead and it does not assume any correlation between the data values. Further, the proposed technique uses only 2 extra lines regardless of the width of the data bus. The ShiftInv coding scheme is also better suited for buses with arbitrary widths. In future, we plan to investigate the merits of this technique by generating other coding schemes resulting from a combination of the shift and invert operations. lso, we plan to investigate special purpose applications for which one may get even more savings using ShiftInv oding. s we are in the deep sub-micron era, the inter-wire parasitic capacitance is a dominant factor for the energy dissipation in circuits [8]. In future we plan to look at the energy minimization based ShiftInv coding that will reduce the total bus energy and not just the number of bus. From the experimental data in Table 2 and Table 3, we see that the savings are more for smaller bus-widths and less for larger bus-widths. This is an expected behavior since the proposed ShiftInv coding is an extension of the Bus Invert oding and the Bus Invert oding method [] does not perform well for larger bus widths. s part of our future work, we plan to explore the possibility of applying the Shift techniques to other types of coding such as the source-coding framework [2]. 8. References [] M. R. Stan and W. P. Burleson, Bus-Invert coding for lowpower I/O, IEEE Trans. on VLSI, March 995, vol. 3, pp [2] S. Ramprasad, N. R. Shanbag, and I. N. Hajj, coding framework for low power address and data busses, IEEE Trans. on VLSI Systems, June 999, vol. 7, pp [3]. L. Su,. Y. Tsui, and. M. Despain, Saving power in the control path of embedded processors, IEEE Design and Test of omputers, 994, vol.., no. 4, pp [4] L. Benini, G. De Micheli, E. Macii, D. Sciuto, and. Silvano, symptotic zero-transition activity encoding for address buses in low-power microprocessor-based systems, Great Lakes VLSI Symposium, Urbana IL, March 997, pp [5] L. Benini, G. De Micheli, E. Macii, M. Poncino, and S. Quer, System-level power optimization of special purpose applications: The beach solution, Proc. Int. Symp. Low Power Electronics Design, ugust 997, pp [6] S. Hong, U. Narayanan, K.S. hung, and T. Kim, Bus-Invert oding for Low-Power I/O Decomposition pproach, Proc. 43 rd IEEE Midwest Symp. ircuits and Systems, ugust 2. [7] Y. Shin, S.I. hae and K. hoi, Partial Bus-Invert oding for Power Optimization of pplication-specific Systems, IEEE Trans. on VLSI Systems, pril 2, vol. 9, pp [8] P. P. Sotiriadis and. handrakasan, Bus energy minimization by transition pattern coding (TP) in deep submicron technologies, Proc. 2 IEEE/M Int. onf. omputer-ided Design, November 2, pp

Low-Power Data Address Bus Encoding Method

Low-Power Data Address Bus Encoding Method Low-Power Data Address Bus Encoding Method Tsung-Hsi Weng, Wei-Hao Chiao, Jean Jyh-Jiun Shann, Chung-Ping Chung, and Jimmy Lu Dept. of Computer Science and Information Engineering, National Chao Tung University,

More information

A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization

A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization Prabhat K. Saraswat, Ghazal Haghani and Appiah Kubi Bernard Advanced Learning and Research Institute,

More information

Memory Bus Encoding for Low Power: A Tutorial

Memory Bus Encoding for Low Power: A Tutorial Memory Bus Encoding for Low Power: A Tutorial Wei-Chung Cheng and Massoud Pedram University of Southern California Department of EE-Systems Los Angeles CA 90089 Outline Background Memory Bus Encoding Techniques

More information

Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study

Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study William Fornaciari Politecnico di Milano, DEI Milano (Italy) fornacia@elet.polimi.it Donatella Sciuto Politecnico

More information

Bus Encoding Technique for hierarchical memory system Anne Pratoomtong and Weiping Liao

Bus Encoding Technique for hierarchical memory system Anne Pratoomtong and Weiping Liao Bus Encoding Technique for hierarchical memory system Anne Pratoomtong and Weiping Liao Abstract In microprocessor-based systems, data and address buses are the core of the interface between a microprocessor

More information

Address Bus Encoding Techniques for System-Level Power Optimization. Dip. di Automatica e Informatica. Dip. di Elettronica per l'automazione

Address Bus Encoding Techniques for System-Level Power Optimization. Dip. di Automatica e Informatica. Dip. di Elettronica per l'automazione Address Bus Encoding Techniques for System-Level Power Optimization Luca Benini $ Giovanni De Micheli $ Enrico Macii Donatella Sciuto z Cristina Silvano # z Politecnico di Milano Dip. di Elettronica e

More information

Reference Caching Using Unit Distance Redundant Codes for Activity Reduction on Address Buses

Reference Caching Using Unit Distance Redundant Codes for Activity Reduction on Address Buses Reference Caching Using Unit Distance Redundant Codes for Activity Reduction on Address Buses Tony Givargis and David Eppstein Department of Information and Computer Science Center for Embedded Computer

More information

Architectures and Synthesis Algorithms for Power-Efficient Bus Interfaces

Architectures and Synthesis Algorithms for Power-Efficient Bus Interfaces IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 9, SEPTEMBER 2000 969 Architectures and Synthesis Algorithms for Power-Efficient Bus Interfaces Luca Benini,

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

Adaptive Low-Power Address Encoding Techniques Using Self-Organizing Lists

Adaptive Low-Power Address Encoding Techniques Using Self-Organizing Lists IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO.5, OCTOBER 2003 827 Adaptive Low-Power Address Encoding Techniques Using Self-Organizing Lists Mahesh N. Mamidipaka, Daniel

More information

Data Encoding Techniques for Lower Power Dissipation in Network on Chip

Data Encoding Techniques for Lower Power Dissipation in Network on Chip Data Encoding Techniques for Lower Power Dissipation in Network on Chip D. Anisha, M.E-Applied Electronics, Jayaram college of engineering and technology, Trichy, India. R. Sarathbabu, Assistant Professor

More information

Power Aware Encoding for the Instruction Address Buses Using Program Constructs

Power Aware Encoding for the Instruction Address Buses Using Program Constructs Power Aware Encoding for the Instruction Address Buses Using Program Constructs Prakash Krishnamoorthy and Meghanad D. Wagh Abstract This paper examines the address traces produced by various program constructs.

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Transition Reduction in Memory Buses Using Sector-based Encoding Techniques

Transition Reduction in Memory Buses Using Sector-based Encoding Techniques Transition Reduction in Memory Buses Using Sector-based Encoding Techniques Yazdan Aghaghiri University of Southern California 3740 McClintock Ave Los Angeles, CA 90089 yazdan@sahand.usc.edu Farzan Fallah

More information

Bus Encoding Techniques for System- Level Power Optimization

Bus Encoding Techniques for System- Level Power Optimization Chapter 5 Bus Encoding Techniques for System- Level Power Optimization The switching activity on system-level buses is often responsible for a substantial fraction of the total power consumption for large

More information

Reducing Transitions on Memory Buses Using Sectorbased Encoding Technique

Reducing Transitions on Memory Buses Using Sectorbased Encoding Technique Reducing Transitions on Memory Buses Using Sectorbased Encoding Technique Yazdan Aghaghiri University of Southern California 3740 McClintock Ave Los Angeles, CA 90089 yazdan@sahand.usc.edu Farzan Fallah

More information

Abridged Addressing: A Low Power Memory Addressing Strategy

Abridged Addressing: A Low Power Memory Addressing Strategy Abridged Addressing: A Low Power Memory Addressing Strategy Preeti anjan Panda Department of Computer Science and Engineering Indian Institute of Technology Delhi Hauz Khas New Delhi 006 INDIA Abstract

More information

Power Efficient Arithmetic Operand Encoding

Power Efficient Arithmetic Operand Encoding Power Efficient Arithmetic Operand Encoding Eduardo Costa, Sergio Bampi José Monteiro UFRGS IST/INESC P. Alegre, Brazil Lisboa, Portugal ecosta,bampi@inf.ufrgs.br jcm@algos.inesc.pt Abstract This paper

More information

Efficient Power Reduction Techniques for Time Multiplexed Address Buses

Efficient Power Reduction Techniques for Time Multiplexed Address Buses Efficient Power Reduction Techniques for Time Multiplexed Address Buses Mahesh Mamidipaka enter for Embedded omputer Systems Univ. of alifornia, Irvine, USA maheshmn@cecs.uci.edu Nikil Dutt enter for Embedded

More information

Power-Aware Bus Encoding Techniques for I/O and Data Busses in an Embedded System

Power-Aware Bus Encoding Techniques for I/O and Data Busses in an Embedded System Power-Aware Bus Encoding Techniques for I/O and Data Busses in an Embedded System Wei-Chung Cheng and Massoud Pedram Dept. of EE-Systems University of Southern California Los Angeles, CA 90089 ABSTRACT

More information

WITH shrinking of feature sizes, increasing die sizes, Coding for System-on-Chip Networks: A Unified Framework

WITH shrinking of feature sizes, increasing die sizes, Coding for System-on-Chip Networks: A Unified Framework IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 6, JUNE 2005 655 Coding for System-on-Chip Networks: A Unified Framework Srinivasa R. Sridhara, Student Member, IEEE, and

More information

THE use of intellectual proprietary components, such as

THE use of intellectual proprietary components, such as 554 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 6, NO. 4, DECEMBER 1998 Power Optimization of Core-Based Systems by Address Bus Encoding Luca Benini, Giovanni De Micheli, Fellow,

More information

Low Power Bus Binding Based on Dynamic Bit Reordering

Low Power Bus Binding Based on Dynamic Bit Reordering Low Power Bus Binding Based on Dynamic Bit Reordering Jihyung Kim, Taejin Kim, Sungho Park, and Jun-Dong Cho Abstract In this paper, the problem of reducing switching activity in on-chip buses at the stage

More information

Implementation of Crosstalk Elimination Using Digital Patterns Methodology

Implementation of Crosstalk Elimination Using Digital Patterns Methodology Implementation of Crosstalk Elimination Using Digital Patterns Methodology Kolli Kalpana M.Tech Student Department of ECE Nova College of Engineering and Technology, Ibrahimpatnam, Vijayawada, Krishna,

More information

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Sigmala Mani Raju PG Scholar, Dept of ECE, Global College of Engineering & Technology, Kadapa, YSR (Dt), AP, India. Abstract: In

More information

STATE ASSIGNMENT ALGORITHM AND ITS USE IN ELECTRIC VEHICLE S ECU

STATE ASSIGNMENT ALGORITHM AND ITS USE IN ELECTRIC VEHICLE S ECU STATE ASSIGNMENT ALGORITHM AND ITS USE IN ELECTRIC VEHICLE S ECU Ankit Sharma 1, Narayan Kamath 2, Dr. R.K. Sharma 3, Department-of-Electronics-&-Communication-Engineering 1 PG Scholar, Embedded System

More information

Power Protocol: Reducing Power Dissipation on Off-Chip Data Buses

Power Protocol: Reducing Power Dissipation on Off-Chip Data Buses Power Protocol: Reducing Power Dissipation on Off-Chip Data Buses K. Basu, A. Choudhary, J. Pisharath ECE Department Northwestern University Evanston, IL 60208, USA fkohinoor,choudhar,jayg@ece.nwu.edu

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Taking One-to-one Mappings for Granted: Advanced Logic Design of Encoder Circuits

Taking One-to-one Mappings for Granted: Advanced Logic Design of Encoder Circuits Taking One-to-one Mappings for Granted: Advanced Logic Design of Encoder Circuits Alwin Zulehner Robert Wille,2 Institute for Integrated Circuits, Johannes Kepler University, Linz, Austria 2 Cyber-Physical

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

ECE 3060 VLSI and Advanced Digital Design

ECE 3060 VLSI and Advanced Digital Design ECE 3060 VLSI and Advanced Digital Design Lecture 16 Technology Mapping/Library Binding Outline Modeling and problem analysis Rule-based systems for library binding Algorithms for library binding structural

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology,

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology, Low Power PLAs Reginaldo Tavares, Michel Berkelaar, Jochen Jess Information and Communication Systems Section, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands {regi,michel,jess}@ics.ele.tue.nl

More information

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 797- flur,chengkokg@ecn.purdue.edu

More information

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION MULTI-NODE STTI LOGI IMPLITIONS FOR REDUNDNY IDENTIFITION Kabir Gulrajani and Michael S. Hsiao Intel orporation, Dupont, W Department of Electrical and omputer Engineering, Rutgers University, Piscataway,

More information

A New Architecture for 2 s Complement Gray Encoded Array Multiplier

A New Architecture for 2 s Complement Gray Encoded Array Multiplier A New Architecture for s Complement Gray Encoded Array Multiplier Eduardo Costa Sergio Bampi José Monteiro UCPel, Pelotas, Brazil UFRGS, P. Alegre, Brazil IST/INESC, Lisboa, Portugal ecosta@atlas.ucpel.tche.br

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Segment 1A. Introduction to Microcomputer and Microprocessor

Segment 1A. Introduction to Microcomputer and Microprocessor Segment 1A Introduction to Microcomputer and Microprocessor 1.1 General Architecture of a Microcomputer System: The term microcomputer is generally synonymous with personal computer, or a computer that

More information

Behavioral Array Mapping into Multiport Memories Targeting Low Power 3

Behavioral Array Mapping into Multiport Memories Targeting Low Power 3 Behavioral Array Mapping into Multiport Memories Targeting Low Power 3 Preeti Ranjan Panda and Nikil D. Dutt Department of Information and Computer Science University of California, Irvine, CA 92697-3425,

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

A High Speed Design of 32 Bit Multiplier Using Modified CSLA

A High Speed Design of 32 Bit Multiplier Using Modified CSLA Journal From the SelectedWorks of Journal October, 2014 A High Speed Design of 32 Bit Multiplier Using Modified CSLA Vijaya kumar vadladi David Solomon Raju. Y This work is licensed under a Creative Commons

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

CS 24: INTRODUCTION TO. Spring 2015 Lecture 2 COMPUTING SYSTEMS

CS 24: INTRODUCTION TO. Spring 2015 Lecture 2 COMPUTING SYSTEMS CS 24: INTRODUCTION TO Spring 2015 Lecture 2 COMPUTING SYSTEMS LAST TIME! Began exploring the concepts behind a simple programmable computer! Construct the computer using Boolean values (a.k.a. bits )

More information

Power Analysis of System-Level On-Chip Communication Architectures

Power Analysis of System-Level On-Chip Communication Architectures Power Analysis of System-Level On-Chip Communication Architectures Kanishka Lahiri and Anand Raghunathan fklahiri,anandg@nec-labs.com NEC Laboratories America, Princeton, NJ ABSTRACT For complex System-on-chips

More information

Lossless Compression using Efficient Encoding of Bitmasks

Lossless Compression using Efficient Encoding of Bitmasks Lossless Compression using Efficient Encoding of Bitmasks Chetan Murthy and Prabhat Mishra Department of Computer and Information Science and Engineering University of Florida, Gainesville, FL 326, USA

More information

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Omar Kebichi *, Yervant Zorian**, Michael Nicolaidis* * Reliable Integrated Systems Group, TIMA / INPG, 46 avenue Félix Viallet 38031

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

Energy-Efficient Encoding Techniques for Off-Chip Data Buses

Energy-Efficient Encoding Techniques for Off-Chip Data Buses 9 Energy-Efficient Encoding Techniques for Off-Chip Data Buses DINESH C. SURESH, BANIT AGRAWAL, JUN YANG, and WALID NAJJAR University of California, Riverside Reducing the power consumption of computing

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 09 MULTIPLEXERS OBJECTIVES: To experimentally verify the proper operation of a multiplexer.

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, 2006 204 Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Design of Low Power Digital CMOS Comparator

Design of Low Power Digital CMOS Comparator Design of Low Power Digital CMOS Comparator 1 A. Ramesh, 2 A.N.P.S Gupta, 3 D.Raghava Reddy 1 Student of LSI&ES, 2 Assistant Professor, 3 Associate Professor E.C.E Department, Narasaraopeta Institute of

More information

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs Hongbing Fan Dept. of omputer Science University of Victoria Victoria B anada V8W P6 Jiping Liu Dept. of Math. & omp. Sci. University

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Evaluating Power Consumption of Parameterized Cache and Bus Architectures in System-on-a-Chip Designs

Evaluating Power Consumption of Parameterized Cache and Bus Architectures in System-on-a-Chip Designs 500 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 4, AUGUST 2001 Evaluating Power Consumption of Parameterized Cache and Bus Architectures in System-on-a-Chip Designs Tony

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

Encoding Scheme for Power Reduction in Network on Chip Links

Encoding Scheme for Power Reduction in Network on Chip Links RESEARCH ARICLE OPEN ACCESS Encoding Scheme for Power Reduction in Network on Chip Links Chetan S.Behere*, Somulu Gugulothu** *(Department of Electronics, YCCE, Nagpur-10 Email: chetanbehere@gmail.com)

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies VLSI IMPLEMENTATION OF HIGH PERFORMANCE DISTRIBUTED ARITHMETIC (DA) BASED ADAPTIVE FILTER WITH FAST CONVERGENCE FACTOR G. PARTHIBAN 1, P.SATHIYA 2 PG Student, VLSI Design, Department of ECE, Surya Group

More information

Parameterized System Design

Parameterized System Design Parameterized System Design Tony D. Givargis, Frank Vahid Department of Computer Science and Engineering University of California, Riverside, CA 92521 {givargis,vahid}@cs.ucr.edu Abstract Continued growth

More information

Efficient RC low-power bus encoding methods for crosstalk reduction

Efficient RC low-power bus encoding methods for crosstalk reduction Efficient RC low-power bus encoding methods for crosstalk reduction Gopaldas Sunil kumar, Patnam Samulu Department of Electronics and Communication, RGMCET Nandyal, Kurnool, A.P. Abstact: In on-chip buses,

More information

DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER

DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER Amandeep Singh 1, Balwinder Singh 2 1-2 Acadmic and Consultancy Services Division, Centre for Development of Advanced Computing(C-DAC), Mohali, India

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Low-Power FIR Digital Filters Using Residue Arithmetic

Low-Power FIR Digital Filters Using Residue Arithmetic Low-Power FIR Digital Filters Using Residue Arithmetic William L. Freking and Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota 200 Union St. S.E. Minneapolis, MN

More information

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION SUNITH KUMAR BANDI #1, M.VINODH KUMAR *2 # ECE department, M.V.G.R College of Engineering, Vizianagaram, Andhra Pradesh, INDIA. 1 sunithjc@gmail.com

More information

A High Performance Bus Communication Architecture through Bus Splitting

A High Performance Bus Communication Architecture through Bus Splitting A High Performance Communication Architecture through Splitting Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 797, USA {lur, chengkok}@ecn.purdue.edu

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

Use of Local Minimization for Lossless Gray Image Compression

Use of Local Minimization for Lossless Gray Image Compression Narendra Kumar et al. / (IJCSIT) International Journal of Computer Science and Information Technologies, Vol. 1 Use of Local Minimization for Lossless Gray Image Compression Narendra Kumar 1, Dr. Sachin

More information

A Bus Architecture for Crosstalk Elimination in High Performance Processor Design

A Bus Architecture for Crosstalk Elimination in High Performance Processor Design A Bus Architecture for Crosstalk Elimination in High Performance Processor Design Wen-Wen Hsieh Department of Computer Science National Tsing Hua University HsinChu, Taiwan 300, R.O.C wwhsieh@cs.nthu.edu.tw

More information

Runlength Compression Techniques for FPGA Configurations

Runlength Compression Techniques for FPGA Configurations Runlength Compression Techniques for FPGA Configurations Scott Hauck, William D. Wilson Department of Electrical and Computer Engineering Northwestern University Evanston, IL 60208-3118 USA {hauck, wdw510}@ece.nwu.edu

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

SF-LRU Cache Replacement Algorithm

SF-LRU Cache Replacement Algorithm SF-LRU Cache Replacement Algorithm Jaafar Alghazo, Adil Akaaboune, Nazeih Botros Southern Illinois University at Carbondale Department of Electrical and Computer Engineering Carbondale, IL 6291 alghazo@siu.edu,

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

Lecture-55 System Interface:

Lecture-55 System Interface: Lecture-55 System Interface: To interface 8253 with 8085A processor, CS signal is to be generated. Whenever CS =0, chip is selected and depending upon A 1 and A 0 one of the internal registers is selected

More information

Improving the Efficiency of Monte Carlo Power Estimation

Improving the Efficiency of Monte Carlo Power Estimation 584 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 5, OCTOBER 2000 Improving the Efficiency of Monte Carlo Power Estimation Chih-Shun Ding, Cheng-Ta Hsieh, and Massoud Pedram

More information

An Overview of Microprocessor The first question comes in a mind "What is a microprocessor?. Let us start with a more familiar term computer. A digital computer is an electronic machine capable of quickly

More information

ARCHITECTURAL APPROACHES TO REDUCE LEAKAGE ENERGY IN CACHES

ARCHITECTURAL APPROACHES TO REDUCE LEAKAGE ENERGY IN CACHES ARCHITECTURAL APPROACHES TO REDUCE LEAKAGE ENERGY IN CACHES Shashikiran H. Tadas & Chaitali Chakrabarti Department of Electrical Engineering Arizona State University Tempe, AZ, 85287. tadas@asu.edu, chaitali@asu.edu

More information

Study on LZW algorithm for Embedded Instruction Memory.

Study on LZW algorithm for Embedded Instruction Memory. Study on LZW algorithm for Embedded Instruction Memory. ADBULLAH A. HUSSAIN MAO ZHIGANG MICROELECTRONICS MICROELECTRONICS Harbin Institute of Technology Harbin Institute of Technology Flat No. 202, Building

More information

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

THE integration of a large number of functional blocks on

THE integration of a large number of functional blocks on 818 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 6, JUNE 2005 Error Control Schemes for On-Chip Communication Links: The Energy Reliability Tradeoff Davide

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Efficient Radix-10 Multiplication Using BCD Codes

Efficient Radix-10 Multiplication Using BCD Codes Efficient Radix-10 Multiplication Using BCD Codes P.Ranjith Kumar Reddy M.Tech VLSI, Department of ECE, CMR Institute of Technology. P.Navitha Assistant Professor, Department of ECE, CMR Institute of Technology.

More information

Power Aware External Bus Arbitration for System-on-a-Chip Embedded Systems

Power Aware External Bus Arbitration for System-on-a-Chip Embedded Systems Power Aware External Bus Arbitration for System-on-a-Chip Embedded Systems Ke Ning 12 and David Kaeli 1 1 Northeastern University 360 Huntington Avenue, Boston MA 02115 2 Analog Devices Inc. 3 Technology

More information

Multi-Parametric Improvements for Embedded Systems using Code-Placement and Address Bus Coding

Multi-Parametric Improvements for Embedded Systems using Code-Placement and Address Bus Coding Multi-Parametric Improvements for Embedded Systems using Code-Placement and Address Bus Coding Sri Parameswaran Jorg Henkel Haris Lekastas The University of New South Wales NEC USA Inc. NEC USA Inc. Kensington,

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

There are only 16 possible 2-input gates Let s examine all of them. Some we already know, others are just silly.

There are only 16 possible 2-input gates Let s examine all of them. Some we already know, others are just silly. ll the Gates There are only 6 possible 2-input gates Let s examine all of them. Some we already know, others are just silly. Do we really need all of these gates? How many of these gates can be implemented

More information

VLSI Arithmetic Lecture 6

VLSI Arithmetic Lecture 6 VLSI Arithmetic Lecture 6 Prof. Vojin G. Oklobdzija University of California http://www.ece.ucdavis.edu/acsel Review Lecture 5 Prefix Adders and Parallel Prefix Adders from: Ercegovac-Lang Oklobdzija 2004

More information

Application-Specific Design of Low Power Instruction Cache Hierarchy for Embedded Processors

Application-Specific Design of Low Power Instruction Cache Hierarchy for Embedded Processors Agenda Application-Specific Design of Low Power Instruction ache ierarchy for mbedded Processors Ji Gu Onodera Laboratory Department of ommunications & omputer ngineering Graduate School of Informatics

More information

(Refer Slide Time: 1:43)

(Refer Slide Time: 1:43) (Refer Slide Time: 1:43) Digital Circuits and Systems Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 27 Pattern Detector So, we talked about Moore

More information