Single error correction, double error detection and double adjacent error correction with no mis-correction code

Size: px
Start display at page:

Download "Single error correction, double error detection and double adjacent error correction with no mis-correction code"

Transcription

1 This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Single error correction, double error detection and double adjacent error correction with no mis-correction code Ho-yoon Jun and Yong-surk Lee a) School of Electrical and Electronic Engineering, Yonsei Univsity, 134 Sinchon-dong, Seodaemun-gu, Seoul , Korea a) Abstract: Single error correction, double error detection and double adjacent error correction (SEC DED DAEC) code without mis-correction of double non-adjacent error is proposed to achieve high reliability protection against soft errors in on-chip memory systems. To eliminate mis-correction among information bits, the orthogonality of orthogonal Latin square codes is engrafted in the H-matrix of the proposed code. Experimental results show that there is no mis-correction for the proposed code and the overhead of implementation is lower than that of other SEC DED DAEC codes. The proposed SEC DED DAEC code is suitable for applications to on-chip memory with high reliability. Keywords: error correcting code, soft error, multiple cell upset, memory Classification: Integrated circuits References IEICE 2013 DOI: /elex Received September 24, 2013 Accepted September 25, 2013 Publicized October 08, 2013 [1] Soonyoung Lee, Sang Hoon Jeon, Sanghyeon Baeg, and Dongho Lee: IEEE Trans. Nuclear Science 60 [2] (2013) [2] Sanguhn Cha and Hongil Yoon: IEICE Electron. Express 10 (2013) [3] M. Y. Hsiao: IBM J. Res. and Dev. 14 [4] (1970) 395. [4] Costas Argyrides, Pedro Reviriego, and Juan Antonio Maestro: IEEE Trans. Reliability 62 [1] (2013) 238. [5] Shu Lin and Daniel J. Costello: Error Control Coding (Prentice Hall, 2004) 2nd. [6] Avijit Dutta and Nur A. Touba: IEEE 25th VLSI Test Symp.(2007) 349. [7] Michael Richter, Klaus Oberlaender, and Michael Goessel: IEEE 14th Int. On-Line Testing Symp. (2008) 37. [8] Rudrajit Datta and Nur A. Touba: IEEE 27th VLSI Test Symp.(2009) 47. [9] Adam Neale and Manoj Sachdev: IEEE Trans. Device and Materials Reliability 13 [1] (2013)

2 [10] M. Y. Hsiao, D. C. Bossen, and R. T. Chien: IBM J. Res. and Dev. 14 [4] (1970) Introduction In deep sub-micron CMOS regime, neutron-induced soft errors are becoming a nontrivial issue in on-chip memory systems. Neutron-induced soft errors lead to multiple cell upset (MCU) in physically adjacent regions and these errors cause unrecoverable system malfunctions [1]. To address these problems, an error correcting code (ECC) is employed in on-chip memory systems [2]. The single error correction and double error detection (SEC DED) code, designed by Hsiao [3], is the most widely adopted in ECC protected memory systems. The most significant feature of Hsiao s code is its fast encoding and decoding with small parity check bits. In addition, an interleaving structure combined with SEC DED code and scrubbing is effective against MCU [4]. However, long distance interleaving is accompanied by problems with the aspect ratio in the floor planning and overhead of performance, area and power consumption. Scrubbing also requires additional power consumption and clock cycles due to its periodic reading of memory content. Even though more powerful cyclic based ECCs, such as the Reed Solomon (RS) code, Bose Chaudhuri Hocquenghem (BCH) code and Euclidean Geometry (EG) code have been proposed to address multiple errors [5], they require long latency, higher area, power consumption and more parity check bits than SEC DED codes. Recently, double adjacent error correction (DAEC) codes have been proposed in ECC literature [6-9]. However, they do not resolve mis-correction of double non-adjacent errors because syndromes for double non-adjacent errors are equal to that of double adjacent errors. This probable problem can also lead to system failure. To achieve high reliability memory systems, the mis-correction problem must be removed. In this letter, we propose a SEC DED DAEC code with no mis-correction to realize highly reliable protection against soft errors in on-chip memory systems. The proposed code is evaluated and compared with alternatives. The results show that the proposed code introduces no mis-correction and the implementation overhead is lower than that of other SEC DED DAEC codes. 2 Proposed code generation rules The proposed code is derived from Hsiao s code. The H-matrix of the proposed code consists of odd weight columns in which the number of 1 s in every column vector is odd. In the proposed SEC DED DAEC code, to correct single and double adjacent errors, the corresponding syndromes must be unique. For single error correction, all columns in the H-matrix correspond to syndromes for SEC. For double adjacent error correction, the XOR result of two adjacent column vectors in the H-matrix should also correspond to syndromes for DAEC. However, the weight of the XOR result for all double errors is even because all column vectors in the H-matrix are of odd weight. Therefore, the XOR result for double adjacent and non-adjacent error should be separated. Additionally, the XOR 2

3 results for non-adjacent errors can overlap because they are uncorrectable errors that can only be detected. H = syndrome space syndromes for SEC (unique) odd weight syndromes for DAEC (unique) even weight all-zero syndrome (= no error) syndromes for non-adjacent DED (overlapped) r XOR gates, where r is the length of columns Fig. 1. Block diagram of mapping syndrome space onto H-matrix Fig. 1 shows a block diagram for mapping syndrome space onto the H-matrix of the proposed code consisting of 12 r-tuple column vectors. The syndrome space is determined by 2 r. The subspace of syndromes for SEC is n, which is the length of a codeword. The subspace of syndromes for double error is 2 r 1 1. The subspace of syndrome for adjacent error correction is k 1, where k is the length of information. There is no error, if the values of the syndrome are all zero. The H-matrix of the proposed code is generated to satisfy the following constraints: 1. All column weight should be nonzero. 2. Every column should be distinct. 3. Every column should be of odd weight. 4. An XOR result for two adjacent column vectors is distinct. 5. An XOR result for two non-adjacent column vectors in the parity check matrix should not overlap that of an adjacent column vector. 6. An XOR result for two columns between in the parity check matrix and the identity matrix may overlap with that of an adjacent column vector. The first two constraints provide a hamming distance of 3 for SEC. The third constraint allows a hamming distance of 4 for SEC DED. The fourth constraint provides DAEC capability, and the fifth constraint completely eliminates mis-correction caused by double non-adjacent bit errors among information bits. In addition, interleaving using column Mux is used to achieve effective regularity of the SRAM layout because the bit-cell pitch in the horizontal direction of SRAM columns is typically smaller than that of an I/O circuit, including the sense amplifier and write driver. As a result, most information bits are physically stored away from parity check bits. Therefore, the last constraint is acceptable. 3 Proposed code construction procedures The proposed code is constructed using a heuristic approach. For an r k parity 3

4 check matrix, the number of possible choices npc is defined by npc s r s 2 i 1 r s for i 1. (1) The variable s is a sample of r and i is an integer greater than zero. For example, for a (39,32) code, the npc is 57. In addition, there are 57 C e + 15 choices for 32 columns. Furthermore, there are 32! 2.6e + 51 column permutations to satisfy the constraints of the proposed code. As a result, the exhaustive search cost to generate the H-matrix are 32! 57 C 32. Therefore, a heuristic approach is required to generate the proposed SEC DED DAEC code. For (n, k) code generation, all code parameters (n, k, r) are based on those of Hsiao s code. The H-matrix for the proposed code is constructed using the algorithm shown in Fig. 2. -Input k: the length of information r: the length of parity check bits -Output H-matrix satisfied constraints -Variables OWcpl: odd weight column pools EWcpl: even weight column pools THx: temporay H-matrix FHx: final H-matrix LcolTHx: last updated column in THx OLW: the number of overlap weight (default value = 1) Ccol: Confirmed column Scol: Selected column 1: r = the number of Hsiao parity check bits(k) 2: while Ccol < k do 3: OWcpl, EWcpl = create_pool(r) 4: THx, FHx = create_empty hmatrix array(r) 5: for i from 3 to i r do 6: THx = select_seed column(owcpl i ) 7: while Ccol < k do 8: for j from 3 to j r do 9: Scol = select_column(lcolthx, OWcpl j, OLW) 10: if unique(thx, Ccol, Scol) && no-miscorrect(thx, Ccol, Scol) is true then 11: Ccol ++ 12: update_column pools status(owcpl, EWcpl) 13: go back the beginning of for at line 8 14: end if 15: end for 16: OLW ++ 17: if OLW > r then 18: exit while at line 7 19: end if 20: end while 21: if Ccol == k && (one_min(thx) < one_min(last FHx)) is true then 22: FHx = THx 23: end if 24: end for 25: if Ccol < k then 26: r++ 27: go back the beginning while at line 2 28: end if 29: end while Fig. 2. H-matrix construction algorithm for the proposed SEC DED DAEC code First, column pools are created with the pattern shown in Fig. 3. This pattern is 4

5 useful to eliminate mis-corrections due to the adjunction of characteristics of Fig. 3 Column pool example to eliminate mis-correction orthogonal Latin square codes [10]. To access column pools, a column is always retrieved from left to right. Second, column selection from the column pools is determined by the overlap weight i.e. the weight of a XOR result from the last confirmed column and the column to be selected, because the constant overlap weight among adjacent columns in H-matrix is also useful to separate double adjacent errors from double non-adjacent errors. Third, the temporary H-matrix that is updated by the last column is tested for uniqueness and mis-correction. If the appropriate H-matrix is not found, the process is repeated with varied values, such as overlap weight, seed column and the length of the parity check matrix bits. Finally, the H-matrix with the smallest number of 1 s is selected to decrease decoding logic area and power consumption. 4 Experimental results To verify the usefulness of the proposed SEC DED DAEC code, it was implemented and simulated in high level language. The H-matrix of the proposed (42, 32) code and the associated code parameters are shown in Fig. 4(a) and 4(b), respectively. The H-matrix pattern is similar to that of orthogonal Latin square code, which is effective to eliminate mis-correction. In Fig. 4(b), the numbers in bracket indicate a decrease of parity check bits compared to that of double error correction (DEC) BCH code. The number of 1 s is only counted in the parity check matrix without the identity matrix. The number of 1 s in the parity check matrix determines the number of XOR gates in the decoder. Therefore, a smaller number of 1 s implies low decoding complexity and area. H = (a) proposed SEC-DED-DAEC code parameters k r n # 1s 32 10(-2) (-3) (-3) (b) Fig. 4 Proposed (42, 32) code and code parameters 5

6 Fig. 5 shows a comparison of SEC DED DAEC codes, including the proposed code and alternatives, where k = 32. It can be seen that there is only no mis-correction in the proposed code and DEC BCH code. However, the number of parity check bits and 1 s in the DEC BCH code are higher than those of the proposed code. Although the number of 1 s for the Neale code is the least, there is 9% mis-correction. Thus, the proposed code is suitable for on-chip memory system with high reliability because of no mis-correction, the low cost decoder and the acceptable number of parity check bits. Table I. Comparison of SEC-DED-DAEC codes (k=32) alternatives r # 1s mis-correction Hsiao code [3] 7 96 N/A Dutta code [6] % Richter code [7] % Datta code [8] % Neale code [9] % DEC BCH zero % proposed code zero % 5 Conclusions We demonstrated a new SEC DED DAEC code to achieve high reliability protection against neutron-induced soft errors in on-chip memory systems. We showed that the proposed code has higher reliability than other SEC DED DAEC codes because it addresses the mis-correction problem. The implementation cost for the proposed code is also acceptable. Therefore, the proposed code is suitable for a protection scheme against MCU in on-chip memory system as the overhead of implementation for the proposed code is acceptable. Acknowledgments This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government. (MEST) (No ) 6

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

ABSTRACT I. INTRODUCTION

ABSTRACT I. INTRODUCTION International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2017 IJSRCSEIT Volume 2 Issue 6 ISSN : 2456-3307 Orthogonal Latin Squares Encoders and Syndrome

More information

A Low-Power ECC Check Bit Generator Implementation in DRAMs

A Low-Power ECC Check Bit Generator Implementation in DRAMs 252 SANG-UHN CHA et al : A LOW-POWER ECC CHECK BIT GENERATOR IMPLEMENTATION IN DRAMS A Low-Power ECC Check Bit Generator Implementation in DRAMs Sang-Uhn Cha *, Yun-Sang Lee **, and Hongil Yoon * Abstract

More information

Design of Majority Logic Decoder for Error Detection and Correction in Memories

Design of Majority Logic Decoder for Error Detection and Correction in Memories IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 3, Ver. I (May. - Jun. 2017), PP 19-26 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Majority Logic Decoder

More information

Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory

Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory Shwetha N 1, Shambhavi S 2 1, 2 Department of E&C, Kalpataru Institute of Technology, Tiptur, Karnataka, India Abstract: Transient

More information

J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India.

J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India. Design of Single Correction-Double -Triple -Tetra (Sec-Daed-Taed- Tetra Aed) Codes J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India. Dr. M. Manikandan Associate Professor,

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY K.Maheshwari M.Tech VLSI, Aurora scientific technological and research academy, Bandlaguda, Hyderabad. k.sandeep kumar Asst.prof,

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Effective Implementation of LDPC for Memory Applications

Effective Implementation of LDPC for Memory Applications Effective Implementation of LDPC for Memory Applications Y.Sreeja PG Scholar, VLSI & ES, Dept of ECE, Vidya Bharathi Institute of Technology, Janagaon, Warangal, Telangana. Dharavath Jagan Associate Professor,

More information

Generating Burst-error Correcting Codes from Orthogonal Latin Square Codes a Graph Theoretic Approach

Generating Burst-error Correcting Codes from Orthogonal Latin Square Codes a Graph Theoretic Approach 0 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems Generating Burst-error Correcting Codes from Orthogonal Latin Square Codes a Graph Theoretic Approach Rudrajit

More information

Error Control Coding for MLC Flash Memories

Error Control Coding for MLC Flash Memories Error Control Coding for MLC Flash Memories Ying Y. Tai, Ph.D. Cadence Design Systems, Inc. ytai@cadence.com August 19, 2010 Santa Clara, CA 1 Outline The Challenges on Error Control Coding (ECC) for MLC

More information

Comparative Analysis of DMC and PMC on FPGA

Comparative Analysis of DMC and PMC on FPGA Comparative Analysis of DMC and PMC on FPGA Gnanajyothi R 1, Mr Ramana Reddy K V 2, Dr. Siva Yellampalli 3 1 Student, Mtech13, UTL VTU regional center, Bengaluru. 2 Assistant professor, UTL VTU regional

More information

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SYSTEMATIC ERROR-CORRECTING CODES IMPLEMENTATION FOR MATCHING OF DATA ENCODED M.Naga Kalyani*, K.Priyanka * PG Student [VLSID]

More information

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes P. Kalai Mani, V. Vishnu Prasath PG Student, Department of Applied Electronics, Sri Subramanya College of Engineering

More information

Available online at ScienceDirect. Procedia Technology 25 (2016 )

Available online at  ScienceDirect. Procedia Technology 25 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 25 (2016 ) 544 551 Global Colloquium in Recent Advancement and Effectual Researches in Engineering, Science and Technology (RAEREST

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

ECC Protection in Software

ECC Protection in Software Center for RC eliable omputing ECC Protection in Software by Philip P Shirvani RATS June 8, 1999 Outline l Motivation l Requirements l Coding Schemes l Multiple Error Handling l Implementation in ARGOS

More information

Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory

Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory Lankesh M. Tech student, Dept. of Telecommunication Engineering, Siddaganga

More information

Improved Error Correction Capability in Flash Memory using Input / Output Pins

Improved Error Correction Capability in Flash Memory using Input / Output Pins Improved Error Correction Capability in Flash Memory using Input / Output Pins A M Kiran PG Scholar/ Department of ECE Karpagam University,Coimbatore kirthece@rediffmail.com J Shafiq Mansoor Assistant

More information

120 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 2, FEBRUARY 2014

120 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 2, FEBRUARY 2014 120 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 2, FEBRUARY 2014 VL-ECC: Variable Data-Length Error Correction Code for Embedded Memory in DSP Applications Jangwon Park,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 2, FEBRUARY IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 2, FEBRUARY 2018 221 Extending 3-bit Burst Error-Correction Codes With Quadruple Adjacent Error Correction Jiaqiang Li, Student

More information

Design Single and Multiple Errors Correction Block Codes

Design Single and Multiple Errors Correction Block Codes Design Single and Multiple Errors Correction Block Codes Zahraa Raad Mayoof Hajiyat Faculty of Engineering Technology & Built Environment, Department of Electrical and Electronic Engineering, B.Eng (Hons)

More information

Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement

Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement 1 Lintu K Babu, 2 Hima Sara Jacob 1 M Tech Student, 2 Assistant Professor 1 Department of Electronics And Communication

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Error-Correction Coding in CMOS RAM Resistant to the Effect of Single Nuclear Particles

Error-Correction Coding in CMOS RAM Resistant to the Effect of Single Nuclear Particles ISSN 063-7397, Russian Microelectronics, 205, Vol. 44, No. 5, pp. 36 323. Pleiades Publishing, Ltd., 205. Original Russian Text K.A. Petrov, V.Ya. Stenin, 205, published in Mikroelektronika, 205, Vol.

More information

An Efficient Error Detection Technique for 3D Bit-Partitioned SRAM Devices

An Efficient Error Detection Technique for 3D Bit-Partitioned SRAM Devices JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.5, OCTOBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.5.445 ISSN(Online) 2233-4866 An Efficient Error Detection Technique

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

Reduced Latency Majority Logic Decoding for Error Detection and Correction

Reduced Latency Majority Logic Decoding for Error Detection and Correction Reduced Latency Majority Logic Decoding for Error Detection and Correction D.K.Monisa 1, N.Sathiya 2 1 Department of Electronics and Communication Engineering, Mahendra Engineering College, Namakkal, Tamilnadu,

More information

Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories

Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories 2011 29th IEEE VLSI Test Symposium Designing a Fast and Adaptive Error Correction Scheme for Increasing the Lifetime of Phase Change Memories Rudrajit Datta and Nur A. Touba Computer Engineering Research

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Rudrajit Datta and Nur A. Touba Computer Engineering Research Center The University

More information

International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 3 Issue 9, September 2014

International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 3 Issue 9, September 2014 Concurrent Fault Detection for Orthogonal Latin Quadrangle Encoders and Condition Computation M.Sravani 1, P.Praveen kumar 2, 1, M.Tech Student, 2 Asst. Prof 1, 2 Department of ECE, KMM ENGINEERING COLLEGE,

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Design of Flash Controller for Single Level Cell NAND Flash Memory

Design of Flash Controller for Single Level Cell NAND Flash Memory Design of Flash Controller for Single Level Cell NAND Flash Memory Ashwin Bijoor 1, Sudharshana 2 P.G Student, Department of Electronics and Communication, NMAMIT, Nitte, Karnataka, India 1 Assistant Professor,

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 6 Coding I Chapter 3 Information Redundancy Part.6.1 Information Redundancy - Coding A data word with d bits is encoded

More information

A Robust Bloom Filter

A Robust Bloom Filter A Robust Bloom Filter Yoon-Hwa Choi Department of Computer Engineering, Hongik University, Seoul, Korea. Orcid: 0000-0003-4585-2875 Abstract A Bloom filter is a space-efficient randomized data structure

More information

IEEE 802.3ap Codes Comparison for 10G Backplane System

IEEE 802.3ap Codes Comparison for 10G Backplane System IEEE 802.3ap Codes Comparison for 10G Backplane System March, 2005 Boris Fakterman, Intel boris.fakterman@intel.com Presentation goal The goal of this presentation is to compare Forward Error Correction

More information

FPGA IMPLEMENTATION OF A NEW BCH DECODER USED IN DIGITAL VIDEO BROADCASTING - SATELLITE - SECOND GENERATION (DVB-S2)

FPGA IMPLEMENTATION OF A NEW BCH DECODER USED IN DIGITAL VIDEO BROADCASTING - SATELLITE - SECOND GENERATION (DVB-S2) FPGA IMPLEMENTATION OF A NEW BCH DECODER USED IN DIGITAL VIDEO BROADCASTING - SATELLITE - SECOND GENERATION (DVB-S2) 1* EL HABTI EL IDRISSI ANAS, 1, 2 EL GOURI RACHID, 3 AHMED LICHIOUI, 1 HLOU LAAMARI

More information

A MULTIBANK MEMORY-BASED VLSI ARCHITECTURE OF DIGITAL VIDEO BROADCASTING SYMBOL DEINTERLEAVER

A MULTIBANK MEMORY-BASED VLSI ARCHITECTURE OF DIGITAL VIDEO BROADCASTING SYMBOL DEINTERLEAVER A MULTIBANK MEMORY-BASED VLSI ARCHITECTURE OF DIGITAL VIDEO BROADCASTING SYMBOL DEINTERLEAVER D.HARI BABU 1, B.NEELIMA DEVI 2 1,2 Noble college of engineering and technology, Nadergul, Hyderabad, Abstract-

More information

FPGA Implementation of Bose Chaudhuri Hocquenghem Code (BCH) Encoder and Decoder for Multiple Error Correction Control

FPGA Implementation of Bose Chaudhuri Hocquenghem Code (BCH) Encoder and Decoder for Multiple Error Correction Control FPGA Implementation of Bose Chaudhuri Hocquenghem Code (BCH) Encoder and Decoder for Multiple Error Correction Control Gnana Prakash 1, M.Muthamizhan 2 PG Student, Dept. of ECE, Sri Venkateswara College

More information

FLEXIBLE PRODUCT CODE-BASED ECC SCHEMES FOR MLC NAND FLASH MEMORIES

FLEXIBLE PRODUCT CODE-BASED ECC SCHEMES FOR MLC NAND FLASH MEMORIES FLEXIBLE PRODUCT CODE-BASED ECC SCHEMES FOR MLC NAND FLASH MEMORIES C. Yang 1, Y. Emre 1, C. Chakrabarti 1 and T.Mudge 2 1 School of Electrical, Computer and Energy Engineering, Arizona State University,

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

A survey of solid-state drives using BCH decoding architecture

A survey of solid-state drives using BCH decoding architecture ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations A survey of solid-state drives using BCH decoding architecture V.Marimuthu 1,D.Ramya

More information

What Types of ECC Should Be Used on Flash Memory?

What Types of ECC Should Be Used on Flash Memory? What Types of ECC Should Be Used on Flash Memory? Application by Scott Chen 1. Abstract NOR Flash normally does not need ECC (Error-Correcting Code). On the other hand, NAND requires ECC to ensure data

More information

Performance study and synthesis of new Error Correcting Codes RS, BCH and LDPC Using the Bit Error Rate (BER) and Field-Programmable Gate Array (FPGA)

Performance study and synthesis of new Error Correcting Codes RS, BCH and LDPC Using the Bit Error Rate (BER) and Field-Programmable Gate Array (FPGA) IJCSNS International Journal of Computer Science and Network Security, VOL.16 No.5, May 2016 21 Performance study and synthesis of new Error Correcting Codes RS, BCH and LDPC Using the Bit Error Rate (BER)

More information

Adaptive ECC for Tailored Protection of Nanoscale Memory

Adaptive ECC for Tailored Protection of Nanoscale Memory Adaptive ECC for Tailored Protection of Nanoscale Memory Dongyeob Shin, Jongsun Park Korea University Seoul, Korea {shindy99, jongsun}@korea.ac.kr Jangwon Park Samsung Electronics Suwon, Korea jw849.park@samsung.com

More information

Fault Tolerant Parallel Filters Based On Bch Codes

Fault Tolerant Parallel Filters Based On Bch Codes RESEARCH ARTICLE OPEN ACCESS Fault Tolerant Parallel Filters Based On Bch Codes K.Mohana Krishna 1, Mrs.A.Maria Jossy 2 1 Student, M-TECH(VLSI Design) SRM UniversityChennai, India 2 Assistant Professor

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

P2FS: supporting atomic writes for reliable file system design in PCM storage

P2FS: supporting atomic writes for reliable file system design in PCM storage LETTER IEICE Electronics Express, Vol.11, No.13, 1 6 P2FS: supporting atomic writes for reliable file system design in PCM storage Eunji Lee 1, Kern Koh 2, and Hyokyung Bahn 2a) 1 Department of Software,

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Hamming Codes. s 0 s 1 s 2 Error bit No error has occurred c c d3 [E1] c0. Topics in Computer Mathematics

Hamming Codes. s 0 s 1 s 2 Error bit No error has occurred c c d3 [E1] c0. Topics in Computer Mathematics Hamming Codes Hamming codes belong to the class of codes known as Linear Block Codes. We will discuss the generation of single error correction Hamming codes and give several mathematical descriptions

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

EECS150 - Digital Design Lecture 24 - High-Level Design (Part 3) + ECC

EECS150 - Digital Design Lecture 24 - High-Level Design (Part 3) + ECC EECS150 - Digital Design Lecture 24 - High-Level Design (Part 3) + ECC April 12, 2012 John Wawrzynek Spring 2012 EECS150 - Lec24-hdl3 Page 1 Parallelism Parallelism is the act of doing more than one thing

More information

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Abstract---Bloom filters (BFs) provide a fast and efficient way to check whether a given element belongs to a set.

More information

Implementation of Multidirectional Parity Check Code Using Hamming Code for Error Detection and Correction

Implementation of Multidirectional Parity Check Code Using Hamming Code for Error Detection and Correction Implementation of Multidirectional Parity Check Code Using Hamming Code for Error Detection and Correction Vishal Badole 1, Amit Udawat 2 Department of Electronics and Communication Engg. 1, Acropolis

More information

Scanline-based rendering of 2D vector graphics

Scanline-based rendering of 2D vector graphics Scanline-based rendering of 2D vector graphics Sang-Woo Seo 1, Yong-Luo Shen 1,2, Kwan-Young Kim 3, and Hyeong-Cheol Oh 4a) 1 Dept. of Elec. & Info. Eng., Graduate School, Korea Univ., Seoul 136 701, Korea

More information

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA.

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA. www.semargroups.org ISSN 2319-8885 Vol.02,Issue.06, July-2013, Pages:480-486 Error Correction in MLC NAND Flash Memories Based on Product Code ECC Schemes B.RAJAGOPAL REDDY 1, K.PARAMESH 2 1 Research Scholar,

More information

EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR

EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR Mrs. A. Ruhan Bevi ECE department, SRM, Chennai, India. Abstract: The ARM processor core is a key component of many successful 32-bit embedded systems. Embedded

More information

Configurable Error Control Scheme for NoC Signal Integrity*

Configurable Error Control Scheme for NoC Signal Integrity* Configurable Error Control Scheme for NoC Signal Integrity* Daniele Rossi Paolo Angelini Cecilia Metra D.E.I.S. University of Bologna Viale Risorgimento 2, 40136 Bologna, Italy {drossi, cmetra}@deis.unibo.it

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication Abstract: Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication The presence of different noise sources and continuous increase in crosstalk in the deep sub micrometer

More information

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P October 2012 Reference Design RD1025 Introduction This reference design implements an Error Correction Code (ECC) module for the LatticeEC and LatticeSC FPGA families that can be applied to increase memory

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

Implementation and Analysis of an Error Detection and Correction System on FPGA

Implementation and Analysis of an Error Detection and Correction System on FPGA Implementation and Analysis of an Error Detection and Correction System on FPGA Constantin Anton, Laurenţiu Mihai Ionescu, Ion Tutănescu, Alin Mazăre, Gheorghe Şerban University of Piteşti, Romania Abstract

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

Design of memory efficient FIFO-based merge sorter

Design of memory efficient FIFO-based merge sorter LETTER IEICE Electronics Express, Vol.15, No.5, 1 11 Design of memory efficient FIFO-based merge sorter Youngil Kim a), Seungdo Choi, and Yong Ho Song Department of Electronics and Computer Engineering,

More information

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication Shubham Fadnavis, M. Tech. (Final Year) Department of Electronics & Communication, Acropolis Institute of Technology

More information

Design and Implementation of Hamming Code on FPGA using Verilog

Design and Implementation of Hamming Code on FPGA using Verilog International Journal of Engineering and Advanced Technology (IJEAT) Design and Implementation of Hamming Code on FPGA using Verilog Ravi Hosamani, Ashwini S. Karne Abstract In mathematics, digital communication

More information

TOLERANCE to runtime failures in large on-chip caches has

TOLERANCE to runtime failures in large on-chip caches has 20 IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 1, JANUARY 2011 Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache Somnath Paul, Student Member, IEEE, Fang Cai, Student

More information

THE orthogonal frequency-division multiplex (OFDM)

THE orthogonal frequency-division multiplex (OFDM) 26 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 1, JANUARY 2010 A Generalized Mixed-Radix Algorithm for Memory-Based FFT Processors Chen-Fong Hsiao, Yuan Chen, Member, IEEE,

More information

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Paul Ampadu, Meilin Zhang Dept. of Electrical and Computer Engineering University of Rochester Rochester, NY, 14627, USA

More information

Comparative Performance Analysis of Block and Convolution Codes

Comparative Performance Analysis of Block and Convolution Codes Comparative Performance Analysis of Block and Convolution Codes Manika Pandey M.Tech scholar, ECE DIT University Dehradun Vimal Kant Pandey Assistant Professor/ECE DIT University Dehradun ABSTRACT Error

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7

ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7 8.7 A Programmable Turbo Decoder for Multiple 3G Wireless Standards Myoung-Cheol Shin, In-Cheol Park KAIST, Daejeon, Republic of Korea

More information

Performance Optimization of HVD: An Error Detection and Correction Code

Performance Optimization of HVD: An Error Detection and Correction Code Abstract Research Journal of Engineering Sciences ISSN 2278 9472 Performance Optimization of HVD: An Error Detection and Correction Code Fadnavis Shubham Department of Electronics and Communication, Acropolis

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

SMALL DISTANCE CODES FOR HEADER ERROR DETECTION IN ATM NETWORKS

SMALL DISTANCE CODES FOR HEADER ERROR DETECTION IN ATM NETWORKS SMALL DISTANCE CODES FOR HEADER ERROR DETECTION IN ATM NETWORKS Tony Antonio Universitas Pancasila, Jakarta Jl. Srengseng Sawah Jagakarsa, Jakarta 12640 tony.antonio@yahoo.com Abstrak On transmission links

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique International journal of scientific and technical research in engineering (IJSTRE) www.ijstre.com Volume 1 Issue 5 ǁ August 2016. Implementation of Efficient Ternary Content Addressable Memory by Using

More information

T325 Summary T305 T325 B BLOCK 4 T325. Session 3. Dr. Saatchi, Seyed Mohsen. Prepared by:

T325 Summary T305 T325 B BLOCK 4 T325. Session 3. Dr. Saatchi, Seyed Mohsen. Prepared by: T305 T325 B BLOCK 4 T325 Summary Prepared by: Session 3 [Type Dr. Saatchi, your address] Seyed Mohsen [Type your phone number] [Type your e-mail address] Dr. Saatchi, Seyed Mohsen T325 Error Control Coding

More information

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams On the Design of High Speed Parallel CRC Circuits using DSP Algorithams 1 B.Naresh Reddy, 2 B.Kiran Kumar, 3 K.Mohini sirisha 1 Dept.of ECE,Kodada institute of Technology & Science for women,kodada,india

More information

THE DESIGN OF STRUCTURED REGULAR LDPC CODES WITH LARGE GIRTH. Haotian Zhang and José M. F. Moura

THE DESIGN OF STRUCTURED REGULAR LDPC CODES WITH LARGE GIRTH. Haotian Zhang and José M. F. Moura THE DESIGN OF STRUCTURED REGULAR LDPC CODES WITH LARGE GIRTH Haotian Zhang and José M. F. Moura Department of Electrical and Computer Engineering Carnegie Mellon University, Pittsburgh, PA 523 {haotian,

More information

FLEXIBLE PRODUCT CODE-BASED ECC SCHEMES FOR MLC NAND FLASH MEMORIES

FLEXIBLE PRODUCT CODE-BASED ECC SCHEMES FOR MLC NAND FLASH MEMORIES FLEXIBLE PRODUCT CODE-BASED ECC SCHEMES FOR MLC NAND FLASH MEMORIES C. Yang 1, Y. Emre 1, C. Chakrabarti 1 and T.Mudge 2 1 School of Electrical, Computer and Energy Engineering, Arizona State University,

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information