Error-Correction Coding in CMOS RAM Resistant to the Effect of Single Nuclear Particles

Size: px
Start display at page:

Download "Error-Correction Coding in CMOS RAM Resistant to the Effect of Single Nuclear Particles"

Transcription

1 ISSN , Russian Microelectronics, 205, Vol. 44, No. 5, pp Pleiades Publishing, Ltd., 205. Original Russian Text K.A. Petrov, V.Ya. Stenin, 205, published in Mikroelektronika, 205, Vol. 44, No. 5, pp Correction Coding in CMOS RAM Resistant to the Effect of Single Nuclear Particles K. A. Petrov a and V. Ya. Stenin a, b a Scientific Research Institute for System Analysis, Russian Academy of Sciences, Nakhimovskii pr. 36, Moscow, 728 Russia b National Research Nuclear University MEPhI, Kashirskoe sh. 3, Moscow, 5409 Russia pertrovk@cs.niisi.ras.ru; vystenin@mephi.ru Received December 8, 204 Abstract Coders/decoders that are used in RAM to enhance the data storage reliability on exposure to single nuclear particles are analyzed. If the numbers of check bits are equal, a Hsiao decoder has a lower signal propagation delay than a decoder of the modified Hamming code. A decoder of the extended Hsiao code with additional check bits has the lowest delay among all decoders. When Hsiao decoders with units with a truncated correction circuit, a symmetrically simplified circuit for error calculation, and a circuit forming the error signal without the use of the error are used in RAM, the critical path lengths and the occupied chip area may be reduced if the detection of triple errors is excluded. The equal efficiency of Richter decoders and coders/decoders obtained through optimization based on genetic algorithms is substantiated for SEC- DAEC codes. DOI: 0.34/S INTRODUCTION The reliability of data storage in static CMOS RAM in the context of single-event upsets (SEUs) on exposure to nuclear particles is enhanced both by increasing the stability of the memory cells themselves [ 3] and by using the data word coding/decoding aids [2, 4]. As the geometric size of components is reduced, the probability of a memory cell upset is increased primarily due to an increase in the probability of multiple cell upsets (MCUs) on exposure to a single particle [5, 6] (in particular, a heavy ion [7]). This leads to a reduction in the reliability of operation of microprocessor VLSIs and systems based on them. The probability of MCUs in RAM in a single data word may be reduced by multiplexing the recording of adjacent bits of the data word into memory cells that are spatially distributed over the RAM chip [8]. Fault-tolerant DICE (dual interlocked storage cell) memory cells, which are traditionally designed by simple topology scaling [9, 0], have lost their advantage over standard CMOS 6T memory cells [, 2] in terms of soft error rates (SERs) and threshold charges as the geometric size was reduced from 80 to 32 nm. In the case of DICE CMOS memory cells with their resistance to the impact of individual nuclear particles being enhanced through the use of additional elements of storage of the logical cell state [2], the soft error rate of memory cells may be reduced by increasing the distance between the sensitive units of such cells. The larger the distance between the sensitive units of a device in the active silicon layer the lower the probability of multiple impact and upset. When increasing the distance between the sensitive nodes of a circuit (component), one should use nontraditional methods for designing the topology of such components [3, 4] and thus try to avoid using the chip area inefficiently. This is a high-cost (in terms of the occupied chip area) approach that is applicable primarily to highly reliable cache RAM with a relatively low data capacity. It is not possible to enhance the reliability of data storage in CMOS RAM without the use of redundant data coding when writing into RAM and decoding when reading out [2 4]. Therefore, it is of interest to validate the feasibility of methods and means used to design coders/decoders for CMOS RAM with small geometric sizes (sub-00 nm) that should reduce the probability of data loss in the event of faults in individual RAM memory cells, be characterized by low coding/decoding delays, and occupy the minimum possible RAM chip area. Linear block Hsiao codes [5, 6] are most commonly used to enhance the reliability of the data stored in RAM. -correction coding with a linear block code is performed using a coder and a decoder that are located at the data bus and introduce delays into the process of data writing and readout, thus altering the access time. Therefore, it is desirable to reduce the delays of signal conditioning in the coder and the decoder. The characteristics of coders/decoders are altered by changing the code test matrices [7 9] and by using ROM components in the decoder [20] to raise its operating speed. The reliability of coders/decoders depends on their resistance to upsets on exposure to individual nuclear particles [2]. 36

2 ERROR-CORRECTION CODING IN CMOS RAM RESISTANT 37 Signal propagation delay in the decoder, ns Number of check bits Modified Hamming Hsiao Hamming Multidimensional triangular Multidimensional rectangular Extended Hsiao Fig.. Characteristics of decoders used in RAM to correct single errors COMPARATIVE ANALYSIS OF CODERS/DECODERS THAT CORRECT SINGLE ERRORS Several error-correction codes used in RAM are known. Coders/decoders that are designed based on the test matrices of these codes differ in the signal propagation delay time and the number of needed check bits. Coders/decoders are designated in the present paper according to the algorithm of the used test matrix. The comparative analysis of coders/decoders of a 64-bit data word that correct single errors was conducted for coders/decoders that do not detect all double errors (Hamming coder/decoder, coder/decoder of the multidimensional rectangular code, and coder/decoder of the multidimensional triangular code) and for coders/decoders that detect all double errors (modified Hamming coder/decoder, Hsiao coder/decoder, and coder/decoder of the extended Hsiao code with additional check bits). The behavioral patterns of coders/decoders were modeled, and their topologies were synthesized in accordance with the 80 nm CMOS design rule. Figure shows the diagrams of the signal propagation delay in different versions of decoders (the values on the left axis) obtained as a result of synthesis and the needed number of check bits (the values on the right axis corresponding to the markers). The analysis of the obtained data showed that the decoders that do not detect all double errors had a lower signal propagation delay (at the minimum number of check bits) than the decoders that detect all double errors (see Fig. ). If the numbers of check bits were equal, a Hsiao decoder had a lower signal propagation delay than a decoder of the modified Hamming code. A decoder of the extended Hsiao code with additional check bits had the lowest delay among all decoders. It is impractical to use decoders of the multidimensional rectangular code and the modified Hamming code, since their analogs have better characteristics. 3. OPERATING SPEED AND HARDWARE SPECIFICS OF HSIAO DECODERS 3.. Hsiao Decoder Structure A Hsiao coder (see the Hsiao coder unit in Fig. 2) is based on XOR gates; the check bits are formed based on the data written into RAM (a data word of k data bits). When each check bit is formed, the data bits are chosen in accordance with the code test matrix. The check bits at the coder output are written into RAM at the address of the data bits and form an n-bit code word together with them. The error-correction Hsiao code is denoted as (n, k), where n is the code word size and k is the data word size (in bits). Figure 2 shows the function circuit of an (n, k) Hsiao decoder. The decoder converts the code word from the output RAM bus in the process of the data readout, producing error signals and the output data that are corrected if an error occurs within them. When the (a a n ) code word is read out from RAM, the check bits are calculated again with the help of the error calculation unit and are then compared bit-by-bit to the check bits that were read out from RAM. The result of this comparison (the error ) is used in shaping the error signals and is fed to the input of the error calculation unit (an incomplete (n k)-to-n decoder; see the DC unit in Fig. 2). The error produced at the DC decoder output screens the input data through bit-by-bit adding modulo 2 in the correction unit, thus correcting single errors in the code word. The error is also involved in the error signal generation. The (b b n ) code word corrected in the case of a single error and

3 38 PETROV, STENIN а calculation unit Correction unit b а k а k + b k b k + а n (n, k) Hsiao coder calculation unit signal generation unit n k n DC b n Uncorrectable error signal signal Fig. 2. Function circuit of an (n, k) Hsiao decoder. the signals of the presence of an error and an uncorrectable error are at the output of the decoder in Fig Decoder Components and Couplings Depending on the specified requirements, different versions of correction units, units calculating the error, and units shaping the error signals and different couplings between the components (error s and error s) may be used in the design of decoders. The correction unit circuit of an (n, k) Hsiao decoder may be either complete or truncated and contain either n or k two-input XOR gates. The complete correction circuit is shown in Fig. 2. An (n, k) Hsiao decoder with a truncated correction unit circuit is used if there is no need to transmit the check bits to the decoder output and is specific in that its output contains k bits (b b k ). A decoder with a truncated correction unit circuit may not be used in RAM if the corrected check bits are to be read out (e.g., when cascade decoding is used, when debugging a coder/decoder as a component of the memory subsystem, or when faults in RAM cells are analyzed). The error calculation unit that is capable of correcting the check bits produces an n-bit error. The diagram of the error calculation unit that calculates an n-bit error from k bits is a complete one (see Fig. 3a). The diagram of the error calculation unit may be simplified at the design stage [22]. Different versions of the error calculation unit for n = 8 and k = 4 are shown in Fig. 3. When there is no need to correct the check bits, the error for them should not be calculated. If this is the case, one may use the truncated diagram of the error calculation unit that produces an (n k)-bit error (see Fig. 3b). It is sufficient to use not (n k) bits, but (n k ) bits of the error to identify all single errors in an incomplete decoder that serves as the error calculation unit. Therefore, any row of the test matrix may be excluded. The uniqueness of each column is preserved in this case, and all single errors may thus be identified. The corresponding diagram of the error calculation unit [22] is regarded as the simplified one (see Fig. 3c). The capability requirements imposed on the unused output of the error calculation unit in a decoder with a simplified correction unit circuit differ from the requirements imposed on the other outputs. This results in an asymmetry in the decoder circuit and may lead to signal races. The asymmetry may be reduced [22] through the use of the symmetrically simplified circuit for error calculation (see Fig. 3d). This circuit has (n k) inputs, but forms each error bit using only those bits that are needed to define it uniquely. The unused bits are uniformly distributed over a equal number of components of the error calculation unit (and not like a single fixed one in a simplified circuit). Thus, the complete diagram of the error calculation unit for a (8, 4) Hsiao decoder is a 4-to-8 decoder (see Fig. 3a), the truncated one is a 4-to-4 decoder (see Fig. 3b), the simplified one is a 3-to-4 decoder (see Fig. 3c) made of three-input AND gates, and the symmetrically simplified one is a 4-to-4 decoder (see Fig. 3d) that, just as in the simplified circuit, is made of three-input AND gates. The diagram of the unit that generates error signals [22] may incorporate n- and (n k)-input NOR gates

4 ERROR-CORRECTION CODING IN CMOS RAM RESISTANT 39 (a) (b) (c) (d) Fig. 3. Different versions of the error calculation unit of a (8, 4) Hsiao decoder: (a) complete circuit, (b) truncated circuit, (c) simplified circuit, and (d) symmetrically simplified circuit. and a two-input AND gate (see Fig. 4a). This allows one to detect a fraction of triple errors thanks to the fact that the error is fed to the n-input NOR gate. This circuit requires an n-bit error. If there is no need to detect a fraction of triple errors, only the error may be used in the unit that generates error signals. This allows one to reduce the occupied chip area and the signal propagation delay in the error signal generation unit by using n k-input XOR gates instead of n-input NOR gates (see Fig. 4b) Comparison of Different Versions of a Hsiao Decoder Five versions of a Hsiao decoder were chosen for modeling, topology synthesis, and comparative analysis. The specific features of these versions are presented in Table. Verilog models of the decoder versions listed in Table were developed for data words with their length varying from 4 to 64 bits. Since the code and the test matrix of the models for each data word match, the numbers of check bits and detected double errors are also equal. Decoder version is specific in that it is capable of detecting a fraction of triple errors. The topologies of different decoder versions were synthesized using the Cadence Encounter CAD software in accordance with the 80 nm CMOS design rule. The dependences of the normalized signal propagation delays in different decoder versions on the data word size are shown in Fig. 5a. The normalized areas of topologies of different decoder versions for various data word sizes are shown in Fig. 5b. The parameters of decoder versions nos. 2 5 in Fig. 5 were normalized by the parameters of version no.. The relative advantages of different decoder versions over version no. in terms of the signal propagation delay (δ tdi (k)) and the area (δ Si (k)) may be estimated based on the modeling results presented in Fig. 5. The relative advantages in terms of the signal propagation delay δ tdi (k = 4) and the area δ Si (k = 4) are shown in Figs. 5a and 5b for decoder version no. 2 (with

5 320 PETROV, STENIN n n k (a) signal Uncorrectable n k error signal n k (b) signal Uncorrectable error signal Fig. 4. Different versions of the error signal generation unit of an (n, k) Hsiao decoder: (a) with the use of the error and (b) without the use of the error. parameter i = 2). The total relative advantage of version no. i for a data word with size k may be defined as δ i (k) = δ tdi (k) + δ Si (k), where i = 2, 3, 4, or 5 and k = 4, 8, 6, 32, or 64. The total relative advantage of version no. i averaged over a number of data word sizes k may be defined as δ AVi (m) = m Σδ i (k, m), where m is the number of different data word sizes over which the averaging is performed. The averaged total relative advantages of different decoder versions are listed in Table 2. Since the δ tdi (k) and δ Si (k) dependences for each decoder version behave oppositely at k 8 with maxima for δ tdi (k) and minima for δ Si (k), the values of δ tdi (k) and δ Si (k) deviate from the averaged δ AVi (m) value by not more than 7 20% for each decoder version. This allows one to use the averaged δ AVi (m) values as measures of the advantages of different decoder versions in terms of the above-indicated parameters. In the case of decoders of data words with their sizes ranging from 8 to 64 bit and with no detection of triple errors, decoder versions nos. 2, 3, 4, and 5 have an advantage of 8, 3, 4, and 42% over version no.. 4. CODERS/DECODERS FOR RAM WITH THE DETECTION OF DOUBLE ADJACENT ERRORS Coders/decoders of the linear block SEC-DED (single-error-correction-double-error-detection) code are used to enhance the resistance of RAM to faults and may detect a fraction of errors of orders higher than 2. The test matrix of this code may be transformed to correct double adjacent errors. In order to do that, the test matrix columns are rearranged in such a way that the total error s in any two adjacent bits do not match. As a result, the SEC-DAEC (single-error-correction-double-adjacent-error-correction) code is obtained. When this code is used, nonadjacent double errors may be corrected erroneously in the decoder if a nonexistent double adjacent error is mistakenly corrected instead of detecting a nonadjacent double error in the data read out from RAM. The noise immunity criterion is one of the basic parameters of SEC- DAEC coders/decoders. This criterion defines the percentage of erroneous correction of nonadjacent double errors; its value should be lowered in order to improve the noise immunity of a coder/decoder. There are several ways to obtain test matrices of SEC-DAEC codes by optimizing test matrices of Hsiao codes with the use of heuristic algorithms of various degrees of complexity. Dutta SEC-DAEC codes [23] obtained using a pseudo-greedy heuristic algorithm and Richter SEC-DAEC codes [24] derived using a random iterative heuristic algorithm from Hsiao codes with the highest probability of detection of triple errors are known. Since it is difficult to implement complete enumeration of all possible versions of the test matrix (there are about 0 6 of them), Dutta and Richter codes were obtained using incomplete enumeration algorithms. In order to investigate the Table. Hsiao decoder versions Version no. Correction mode, number of outputs calculation unit signal generation unit Correction of any code word bit; n outputs Complete circuit (see Fig. 3a) is used; a fraction of triple errors is detected (see Fig. 4a) 2 Correction of only the data bits; n outputs Complete circuit (see Fig. 3a) is not used (see Fig. 4b) 3 Correction of only the data bits; k outputs Truncated circuit (see Fig. 3b) is not used (see Fig. 4b) 4 Correction of only the data bits; k outputs Simplified circuit (see Fig. 3c) is not used (see Fig. 4b) 5 Correction of only the data bits; Symmetrically simplified circuit is not used k outputs (see Fig. 3d) (see Fig. 4b)

6 ERROR-CORRECTION CODING IN CMOS RAM RESISTANT 32 t Di /t D δ td2 (k = 4) 3 (a) i 4 2 S i /S (b) i δ S2 (k = 4) bits 8 bits 6 bits 32 bits 64 bits bits 8 bits 6 bits 32 bits 64 bits Fig. 5. Dependences of (a) the normalized signal propagation delays and (b) the normalized decoder areas of different Hsiao decoder versions on the data word size. possibility of obtaining test matrices of more noiseresistant SEC-DAEC code versions for 32-bit and 64- bit data words, we tried to optimize test matrices of the Hsiao code using a more complex heuristic algorithm (genetic algorithm) and compared the results with Dutta and Richter coders. Coders/decoders based on test SEC-DAEC codes were compared in their conversion delay (operating speed) characterized by the maximum number of ones in the test matrix row and in their error-correcting capability characterized by the probability of erroneous correction of double errors (the lower the probability of erroneous correction the higher the error-correcting capability). Since the hardware redundancy required to implement each of these coders/decoders is characterized primarily by the number of check bits, the coders/ decoders may be considered equal in these terms. The characteristics of coders/decoders of Dutta and Richter codes and the code optimized with a genetic algorithm are compared in Fig. 6 for 32-bit and 64-bit data words. Figure 6 shows the diagrams of normalized signal propagation delays, which are characterized by the maximum number of delays in the test matrix row (the values at the left axis) and were obtained as a result of modeling, and the probabilities of erroneous correction of double errors by the mentioned decoders (the values on the right vertical axis corresponding to the markers). It follows from the obtained data (see the dependences in Fig. 6) that the derivation of test matrices of SEC-DAEC codes with genetic algorithms allows one to obtain coders/decoders that detect more nonadjacent double errors than Dutta decoders. The probability of erroneous correction of double errors was reduced from 53 (for Dutta decoders) to 35%, although the advantage over Richter decoders was marginal. This suggests that both Richter codes and the codes obtained with the help of genetic algorithms may be used with great efficiency in practice. 5. RESULTS OF SIMULATION AND ANALYSIS OF VERSIONS OF CODERS/DECODERS The comparative analysis of characteristics of coders/decoders of a 64-bit data word that correct single errors was conducted by modeling the behavioral patterns of coders/decoders and synthesizing their topologies in accordance with the 80 nm CMOS design rule and revealed the following points: () The decoders that do not detect all double errors had a lower signal propagation delay (at the minimum number of check bits) than the decoders that detect all double errors. (2) If the numbers of check bits were equal, a Hsiao decoder had a lower signal propagation delay than a decoder of the modified Hamming code. (3) A decoder of the extended Hsiao code with additional check bits had the lowest signal propagation delay among all the considered decoders. Table 2. Averaged relative advantages of different decoder versions Decoder version no Value averaged over all five data word sizes, δ AVi (m = 5) Value averaged over four data word sizes k = 8; 6; 32; and 64, δ AVi (m = 4)

7 322 PETROV, STENIN Dutta code Richter code Optimized code 0 (39, 32): Maximum number of ones in a row of the test matrix (72, 64): Maximum number of ones in a row of the test matrix (39, 32): Probability of erroneous correction of double errors, % (72, 64): Probability of erroneous correction of double errors, % Fig. 6. Characteristics of SEC-DAEC coders/decoders for 32-bit and 64-bit data words. (4) It is impractical to use the decoders of the multidimensional rectangular code and the modified Hamming code to enhance the resistance of RAM to faults, since their analogues have better characteristics. The signal propagation delay in a Hsiao decoder may be reduced by using the following methods: (i) a truncated correction unit circuit with no correction of check bits; (ii) a symmetrically simplified circuit of the error calculation unit where the error bits are used to define uniquely each error bit and no error of check bits is generated; and (iii) a unit that generates error signals without the use of the error (logic XNOR of the error bits is calculated instead of logic NAND of the error bits). Decoder versions (with diagrams of the correction unit, the error calculation unit, and the error signal generation unit) with a reduced number of logic gates were proposed following the analysis. The use of these components allows one to reduce the signal propagation delays in Hsiao decoders and the chip area occupied by a decoder for data words with their length varying from 4 to 64 bits in the case when the detection of triple errors is excluded. If no triple errors are detected, different decoder versions for data words with their length varying from 6 to 64 bits have an advantage of 8 42% over a Hsiao decoder with a complete diagram of the error calculation unit and the error signal generation unit where the error is used to detect a fraction of triple errors. After (72, 64) coders/decoders of the modified Hamming code were substituted with the designed (28, 8) and (72, 64) Hsiao coders/decoders in VLSI cache RAM, the critical path lengths and the total chip area occupied by coders/decoders were reduced by 9 and 36%, respectively (regardless of the fact that the number of coders/decoders was raised from 8 to 4 due to a change in the cache RAM architecture). The test matrices of SEC-DAEC codes derived through optimization with genetic algorithms allowed us to design coders/decoders that detect more nonadjacent double errors than Dutta decoders. The probability of the erroneous correction of double errors was reduced from 53 (for Dutta decoders) to 35%, although the advantage over Richter decoders was marginal. This suggests that Richter coders/decoders and the coders/decoders obtained through optimization with genetic algorithms may be used with equal efficiency in practice. CONCLUSIONS Coders/decoders that are used in RAM to enhance the data storage reliability on exposure to single nuclear particles were analyzed. If the numbers of check bits were equal, a Hsiao decoder had a lower signal propagation delay than a decoder of the modified Hamming code. A decoder of the extended Hsiao code with additional check bits had the lowest signal propagation delay among all the considered decoders. When Hsiao decoders with units with a truncated correction circuit, a symmetrically simplified circuit for

8 ERROR-CORRECTION CODING IN CMOS RAM RESISTANT 323 error calculation, and a circuit forming the error signal without the use of the error are used in RAM, the critical path lengths and the occupied chip area may be reduced if the detection of triple errors is excluded. The equal efficiency of Richter decoders and coders/decoders obtained through optimization based on genetic algorithms was substantiated for SEC-DAEC codes. This work was supported by the Russian Foundation for Basic Research under Project REFERENCES. Betelin, V.B., Baranov, S.V., Bobkov, S.G., Krasnyuk, A.A., Osipenko, P.N., Stenin, V.Ya., Cherkasov, I.G., Chumakov, A.I., and Yanenko, A.V., Prospects for using submicron CMOS VLSI in fault-tolerant equipment operating under exposure to atmospheric neutrons, Russ. Microelectron., 2009, vol. 38, no., pp Nicolaidis, M., Soft s in Modern Electronic Systems, New York: Springer, Pavlov, A. and Sachdev, M., CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies, New York: Springer, Krasnyuk, A.A. and Petrov, K.A., Application features of the error correction coding in sub-00-nm memory microcircuits for cosmic systems, Russ. Microelectron., 203, vol. 42, no., pp Bajura, M.A., Boulghassoul, Y., Naseer, R., DasGupta, S., Witulski, A., Sondeen, J., Stansberry, S.D., Draper, J., Massengill, L.W., and Damoulakis, J.N., Models and algorithmic limits for ECC-based approach to hardening sub-00-nm SRAMs, IEEE Trans. Nucl. Sci., 2007, vol. 54, no. 4, pp Gaspard, N., Jagannathan, S., Diggins, Z., McCurdy, M., Loveless, T.D., Bhuva, B.L., Massengill, L.W., Holman, W.T., Oates, T.S., Fang, Y.-P., Wen, S.-J., Wong, R., Lilja, K., and Bounasser, M., Estimation of hardened flip-flop neutron soft error rates using SRAM multiplecell upset data in bulk CMOS, in Proceedings of IEEE International Reliability Physics Symposium, 203, pp. SE.6. SE Uznanski, S., Gasiot, G., Roche, P., Tavernier, C., and Autran, J.L., Single event upset and multiple cell upset modeling in commercial bulk 65-nm CMOS SRAMs and flip_flops, IEEE Trans. Nucl. Sci., 200, vol. 57, no. 4, pp Slayman, C., Cache and memory error detection, correction, and reduction techniques for terrestrial servers and workstations, IEEE Trans. Dev. Mater. Reliab., 2005, vol. 5, no. 3, pp Loveless, T.D., Jagannathan, S., Reece, T., Chetia, J., Bhuva, B.L., McCurdy, M.W., Massengill, L.W., Wen, S.-J., Wong, R., and Rennie, D., Neutron- and proton-induced single event upsets for D- and DICEflip/flop designs at a 40 nm technology node, IEEE Trans. Nucl. Sci., 20, vol. 58, no. 3, pp Seifert, N.P., Ambrose, V., Gill, B., Shi, Q., Allmon, R., Recchia, C., Mukherjee, S., Nassif, N., Krause, J., Pickholtz, J., and Balasubramanian, A., On the radiation-induced soft error performance of hardened sequential elements in advanced bulk CMOS technologies, Proceedings of IEEE International Reliability Physics Symposium, 200, pp Massengill, L.W., Bhuva, B.L., Holman, W.T., Alles, M.L., and Loveless, T.D., Technology scaling and soft error reliability, Proceedings of IEEE International Reliability Physics Symposium, 202, pp. 3C.. 3C Warren, K., Stenberg, A., Black, J., Weller, R., Reed, R., Mendenhall, M., Schrimpf, R., and Massengill, L., Heavy ion testing and single-event upset rate prediction considerations for a DICE flip-flop, IEEE Trans. Nucl. Sci., 2009, vol. 56, no. 6, pp Stenin, V.Ya., Katunin, Yu.V., and Stepanov, P.V., Specific design aspects of 65-nm CMOS DICE cells subject to single-event multi-node charge collection, Vestn. NIYaU MIFI, 203, vol. 2, no. 3, pp Stenin, V.Ya. and Stepanov, P.V., Two transistor clusters CMOS DICE cell layout design for a hardened CMOS 28 nm SRAM, Vestn. NIYaU MIFI, 204, vol. 3, no. 4, pp Hsiao, M.Y., A class of optimal minimum odd-weightcolumn SEC-DED codes, IBM J. Res. Develop., 970, vol. 4, pp Blahut, R.E., Fast Algorithms for Digital Signal Processing, Reading, MA: Addison-Wesley, Kazeminejad, A., Fast, minimal decoding complexity, systematic (3, 8) single-error-correcting codes for onchip DRAM applications, Electron. Lett., 200, vol. 37, no. 7, pp Anwar, M.T., Lala, P.K., and Thenappan, P., Decoder design for a new single error correcting/double error detecting code, Proc. World Acad. Sci., 2007, vol. 22, no. 4, pp Gherman, V., Evain, S., Seymour, N., and Bonhomme, Y., Generalized parity-check matrices for SEC-DED codes with fixed parity, IEEE On-Line Testing Symposium, 20, pp Gao, W. and Simmons, S., A study on the VLSI implementation of ECC for embedded DRAM, IEEE Electric. Comput. Eng., 2003, vol., pp Maestro, J.A., Reviriego, P., Argyrides, C., and Pradhan, D.P., Fault tolerant single error correction encoders, J. Electron. Test.: Theory Appl., 20, vol. 27, no. 2, pp Petrov, K.A., Processing speed increase and hardware cost reduction in Hsiao decoders, in Problemy razrabotki perspektivnykh mikro- i nanoelektronnykh sistem 204 (Problems of Perspective Micro- and Nanoelectronic Systems Development 204, Proceedings), Stempkovsky, A., Ed., Moscow: IPPM RAN, 204, pp Dutta, A. and Touba, N.A., Multiple bit upset tolerant memory using a selective cycle avoidance based SEC- DED-DAEC Code, in Proceedings of the 25th IEEE VLSI Test Symposium, 2007, pp Richter, M., Oberlaender, K., and Goessel, M., New linear SEC-DED codes with reduced triple bit error miscorrection probability, in Proceedings of the 4th International On-Line Testing Symposium, 2008, pp Translated by D. Safin

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

Single error correction, double error detection and double adjacent error correction with no mis-correction code

Single error correction, double error detection and double adjacent error correction with no mis-correction code This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Single error correction, double error detection

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory

Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory Shwetha N 1, Shambhavi S 2 1, 2 Department of E&C, Kalpataru Institute of Technology, Tiptur, Karnataka, India Abstract: Transient

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

Comparative Analysis of DMC and PMC on FPGA

Comparative Analysis of DMC and PMC on FPGA Comparative Analysis of DMC and PMC on FPGA Gnanajyothi R 1, Mr Ramana Reddy K V 2, Dr. Siva Yellampalli 3 1 Student, Mtech13, UTL VTU regional center, Bengaluru. 2 Assistant professor, UTL VTU regional

More information

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes P. Kalai Mani, V. Vishnu Prasath PG Student, Department of Applied Electronics, Sri Subramanya College of Engineering

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 2, FEBRUARY IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 2, FEBRUARY 2018 221 Extending 3-bit Burst Error-Correction Codes With Quadruple Adjacent Error Correction Jiaqiang Li, Student

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

Effective Implementation of LDPC for Memory Applications

Effective Implementation of LDPC for Memory Applications Effective Implementation of LDPC for Memory Applications Y.Sreeja PG Scholar, VLSI & ES, Dept of ECE, Vidya Bharathi Institute of Technology, Janagaon, Warangal, Telangana. Dharavath Jagan Associate Professor,

More information

Design of Majority Logic Decoder for Error Detection and Correction in Memories

Design of Majority Logic Decoder for Error Detection and Correction in Memories IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 3, Ver. I (May. - Jun. 2017), PP 19-26 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Majority Logic Decoder

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

ABSTRACT I. INTRODUCTION

ABSTRACT I. INTRODUCTION International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2017 IJSRCSEIT Volume 2 Issue 6 ISSN : 2456-3307 Orthogonal Latin Squares Encoders and Syndrome

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory

Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory Lankesh M. Tech student, Dept. of Telecommunication Engineering, Siddaganga

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India.

J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India. Design of Single Correction-Double -Triple -Tetra (Sec-Daed-Taed- Tetra Aed) Codes J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India. Dr. M. Manikandan Associate Professor,

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement

Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement 1 Lintu K Babu, 2 Hima Sara Jacob 1 M Tech Student, 2 Assistant Professor 1 Department of Electronics And Communication

More information

ECC Protection in Software

ECC Protection in Software Center for RC eliable omputing ECC Protection in Software by Philip P Shirvani RATS June 8, 1999 Outline l Motivation l Requirements l Coding Schemes l Multiple Error Handling l Implementation in ARGOS

More information

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SYSTEMATIC ERROR-CORRECTING CODES IMPLEMENTATION FOR MATCHING OF DATA ENCODED M.Naga Kalyani*, K.Priyanka * PG Student [VLSID]

More information

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Abstract This paper presents a method of hardening the 8051 micro-controller, able

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Abstract---Bloom filters (BFs) provide a fast and efficient way to check whether a given element belongs to a set.

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application

A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 1 A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application Aibin Yan, Kang

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

Design Trade-off Between Performance and Fault-Tolerance of Space Onboard Computers

Design Trade-off Between Performance and Fault-Tolerance of Space Onboard Computers Journal of Physics: Conference Series PAPER OPEN ACCESS Design Trade-off Between Performance and Fault-Tolerance of Space Onboard Computers To cite this article: M S Gorbunov and A A Antonov 2017 J. Phys.:

More information

Flash memory cells data loss caused by total ionizing dose and heavy ions

Flash memory cells data loss caused by total ionizing dose and heavy ions Cent. Eur. J. Phys. 12(10) 2014 725-729 DOI: 10.2478/s11534-014-0503-6 Central European Journal of Physics Flash memory cells data loss caused by total ionizing dose and heavy ions Research Article Andrey

More information

A Low-Power ECC Check Bit Generator Implementation in DRAMs

A Low-Power ECC Check Bit Generator Implementation in DRAMs 252 SANG-UHN CHA et al : A LOW-POWER ECC CHECK BIT GENERATOR IMPLEMENTATION IN DRAMS A Low-Power ECC Check Bit Generator Implementation in DRAMs Sang-Uhn Cha *, Yun-Sang Lee **, and Hongil Yoon * Abstract

More information

Comparison of SET-Resistant Approaches for Memory-Based Architectures

Comparison of SET-Resistant Approaches for Memory-Based Architectures Comparison of SET-Resistant Approaches for Memory-Based Architectures Daniel R. Blum and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman,

More information

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY K.Maheshwari M.Tech VLSI, Aurora scientific technological and research academy, Bandlaguda, Hyderabad. k.sandeep kumar Asst.prof,

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Rudrajit Datta and Nur A. Touba Computer Engineering Research Center The University

More information

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1 What is this chapter about? Gives an Overview of and

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration V.Elamaran, G.Rajkumar, N.Raju, K.Narasimhan, Har Narayan Upadhyay School of EEE, Department of ECE, SASTRA

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication Abstract: Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication The presence of different noise sources and continuous increase in crosstalk in the deep sub micrometer

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

Design of Low Power SRAM in 45 nm CMOS Technology

Design of Low Power SRAM in 45 nm CMOS Technology Design of Low Power SRAM in 45 nm CMOS Technology K.Dhanumjaya Dr.MN.Giri Prasad Dr.K.Padmaraju Dr.M.Raja Reddy Research Scholar, Professor, JNTUCE, Professor, Asst vise-president, JNTU Anantapur, Anantapur,

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Available online at ScienceDirect. Procedia Technology 25 (2016 )

Available online at  ScienceDirect. Procedia Technology 25 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 25 (2016 ) 544 551 Global Colloquium in Recent Advancement and Effectual Researches in Engineering, Science and Technology (RAEREST

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Design of 6-T SRAM Cell for enhanced read/write margin

Design of 6-T SRAM Cell for enhanced read/write margin International Journal of Advances in Electrical and Electronics Engineering 317 Available online at www.ijaeee.com & www.sestindia.org ISSN: 2319-1112 Design of 6-T SRAM Cell for enhanced read/write margin

More information

An Efficient Error Detection Technique for 3D Bit-Partitioned SRAM Devices

An Efficient Error Detection Technique for 3D Bit-Partitioned SRAM Devices JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.5, OCTOBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.5.445 ISSN(Online) 2233-4866 An Efficient Error Detection Technique

More information

Creating a parameterized model of a CMOS transistor with a gate of enclosed layout

Creating a parameterized model of a CMOS transistor with a gate of enclosed layout Journal of Physics: Conference Series PAPER OPEN ACCESS Creating a parameterized model of a CMOS transistor with a gate of enclosed layout To cite this article: S M Vinogradov et al 2016 J. Phys.: Conf.

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 6 Coding I Chapter 3 Information Redundancy Part.6.1 Information Redundancy - Coding A data word with d bits is encoded

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari

More information

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Omar Kebichi *, Yervant Zorian**, Michael Nicolaidis* * Reliable Integrated Systems Group, TIMA / INPG, 46 avenue Félix Viallet 38031

More information

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs Lee W. Lerner and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University Auburn, AL, USA Abstract We present

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Babu. M, Saranya. S, Preethy. V, Gurumoorthy. J Abstract: The size of integrated Circuits has developed rapidly and

More information

EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR

EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR Mrs. A. Ruhan Bevi ECE department, SRM, Chennai, India. Abstract: The ARM processor core is a key component of many successful 32-bit embedded systems. Embedded

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

Generating Burst-error Correcting Codes from Orthogonal Latin Square Codes a Graph Theoretic Approach

Generating Burst-error Correcting Codes from Orthogonal Latin Square Codes a Graph Theoretic Approach 0 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems Generating Burst-error Correcting Codes from Orthogonal Latin Square Codes a Graph Theoretic Approach Rudrajit

More information

Overview: Physically- Based Simulation of Single-Event Effects

Overview: Physically- Based Simulation of Single-Event Effects Overview: Physically- Based Simulation of Single-Event Effects Robert A. Weller Institute for Space & Defense Electronics Vanderbilt University R. A. Reed M. H. Mendenhall D. R. Ball J. A. Pellish K. M.

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Reliable Architectures

Reliable Architectures 6.823, L24-1 Reliable Architectures Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 6.823, L24-2 Strike Changes State of a Single Bit 10 6.823, L24-3 Impact

More information

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Hamid Shojaei, and Azadeh Davoodi University of Wisconsin 1415 Engineering Drive, Madison WI 53706 Email: {shojaei,

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY GLITCH-FREE NAND-BASED DIGITALLY CONTROLLED DELAY LINES M.Indu*, S.HasmashruthiA.Nandhini, N.Megala Electronics and Communication

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Fault Tolerant Parallel Filters Based On Bch Codes

Fault Tolerant Parallel Filters Based On Bch Codes RESEARCH ARTICLE OPEN ACCESS Fault Tolerant Parallel Filters Based On Bch Codes K.Mohana Krishna 1, Mrs.A.Maria Jossy 2 1 Student, M-TECH(VLSI Design) SRM UniversityChennai, India 2 Assistant Professor

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information