WB_INTERFACE - Configuring Item Types

Size: px
Start display at page:

Download "WB_INTERFACE - Configuring Item Types"

Transcription

1 WB_INTERFACE - Configuring Item Types The following sections take a closer look at configuration of each of the supported item types Internal Register, Co mmand Set, External Address Range with respect to the WB_INTERFACE's custom interface. Internal Register An internal register allows a value to be read from, and/or written to, connected logic. This item type is defined using the Internal Register Properties dialog (Figure 1). Figure 1. Configuration dialog for the internal register item. Use the dialog to define the following properties for the internal register: Name A unique name for the register. Each item in the custom interface must have a unique name so that there are no name 'clashes' in the schematic document, the generated VHDL code, or the generated C code. If two items in different WB_INTERFACE components in the same design project have the same name, the functions generated in the C code will have the same name, resulting in a name 'clash'. Data Width The width of the data bus, in bits. This value can range from 1 to 32 bits, but must be less than or equal to, the value defined for the width of the Wishbone data bus.

2 IO Type The direction of data access. You can specify Read, Write, or Read/Write. Graphical Attributes When the component is placed on a schematic sheet, this property allows you to specify the amount of blank space to be inserted after the item's bank of pins. Slices A register can, if required, be divided into smaller 'slices'. Each slice consists of a contiguous group of bits and the bit ranges of slices can not overlap. Use the controls in this region of the dialog (buttons or commands from the right-click menu accessible from within the list area) to add, edit, remove, and reorder slice definitions. When adding or editing a slice, its properties are defined in the Register Slice Properties dialog. From here, you define a unique name for the slice, and its bit range in terms of Start (low order bit) and End (high order bit) indices. The start and end indices are inclusive. While the names of slices within a single register must be unique, slices in different registers can have the same name. Figure 2 illustrates the slicing of an internal register (named ) into two equal slices name (bits 7..4) and (bi BIG HI LO ts 3..0).

3 Figure 2. Example division of a register into slices. If a register is not divided into slices, pins for the whole register will appear on the schematic symbol. If there is at least one slice, pins for the slices will appear on the schematic symbol, rather than pins for the whole register. The bits of a register that have not been assigned to a slice will be unused. Command Set A command set is a collection of commands, grouped into one or more command classes. Each command allows an operation to be enabled on connected logic. Similar to an instruction executed in a program, a command in the set consists of an opcode (command code) and one or more optional operands (data). MSB LSB Opcode: Operand1: AAAAAAAA Operand2: BBBBBBBB Operand3: CCCCCCCC Figure 3. Example command that uses three operands.

4 Opcodes are automatically assigned to commands and are aligned towards the higher order bits. The operands, which are manually defined, should be aligned to the low order bits, and with no gaps between them. The bit ranges of the operands should also not overlap. This item type is defined using the Command Set Properties dialog (Figure 4). Figure 4. Configuration dialog for the command set item. Use the dialog to define the following properties for the command set: Name A unique name for the command set. Each item in the custom interface must have a unique name so that there are no name 'clashes' in the schematic document, the generated VHDL code, or the generated C code. If two items in different WB_INTERFACE components in the same design project have the same name, the functions generated in the C code will have the same name, resulting in a name 'clash'. Data Width The width of the data bus, in bits. This value can range from 1 to 32 bits, but must be less than or equal to, the value defined for the width of the Wishbone data bus. Graphical Attributes

5 When the component is placed on a schematic sheet, this property allows you to specify the amount of blank space to be inserted after the item's bank of pins. Immediate Acknowledgement Enable this option to have an acknowledgement sent immediately (and automatically) to the host processor through the ACK_O pin in the Wishbone interface, upon completion of a successful operation. This option should only be enabled if you are sure that the connected logic can quickly read/write data (i.e. within one clock cycle). When this option is disabled, an ACK_I pin will be added to the custom interface for the command set item. The externally-connected logic must take this pin High to generate the acknowledge signal (High) on the WB_INTERFACE'S ACK_O line to the host processor. Commands and Classes This region of the dialog is used to display and manage the command set's constituent command classes, commands and operands. Use the available buttons (or commands from the right-click menu accessible from within the list area) to add, edit, remove, and reorder command classes and their constituent commands. Defining a Command Class When adding or editing a command class, its properties are defined in the Command Class Properties dialog (Figure 5).

6 Figure 5. Configuration dialog for a command class. Use the upper region of the dialog to define a unique name for the class. The lower region is used to display and manage any operands for the class. The command class itself is a collection of commands with the same type of operands. Therefore, any defined operands (and their corresponding outputs) will be shared by all commands in that class. Use the controls in this region of the dialog (buttons or commands from the right-click menu accessible from within the list area) to add, edit, remove, and reorder operand definitions. When adding or editing an operand, its properties are defined in the Operand Properties dialog. From here, you define a unique name for the operand, and its bit range in terms of Start (low order bit) and End (high order bit) indices. The start and end indices are inclusive Within the same command class, all operands and commands must have unique names. Figure 6 illustrates the definition of an operand named BANK_NUM. It is 4 bits in size and is specified to be aligned to the lower 4 bits of a command that uses it. Figure 6 also illustrates the resulting entry for the operand in the Comma nds and Classes region of the main Command Set Properties dialog.

7 Figure 6. Example operand definition. From Figure 6 we can see that the data width for the parent command set item has been set to 32 bits. The operand BANK_NUM, is 4 bits. In the command class REG to which this operand is associated, there is a single command BA NK. As can be seen, this 32-bit command is comprised of a 1-bit opcode ("0"), 27 unused bits ("XXXXXXXXXXXXXXXXXXXXXXXXXXX") and the 4-bit operand ("AAAA"). The unused bits are neither part of the opcode, nor the operand and will simply be ignored. With 28 bits available for the opcode, this command class can support a maximum of 2 28 commands (all of which would use the 4-bit operand, BANK_NUM). To hit home the importance of correct operand definition and alignment, consider the following example of non-optimal operand definition: A command set with data width 32 bits A command class with two defined operands: Operand1 8 bits located in the bit range Operand2 8 bits located in the bit range Figure 7 illustrates the make-up of a generic command added to this command class. MSB LSB Opcode Operand1: AAAAAAAA Operand2: BBBBBBBB Figure 7. Resulting command based on operand definition. As mentioned previously, the opcode is automatically assigned to a command, and aligned to the higher order bits. From Figure 7 we can see that because of the way in which the two operands have been defined, only a 2-bit opcode can be generated. This allows for a maximum of 2 2 = 4 commands in this particular command class. If the bit ranges for the operands were edited, so that they are aligned to the right and with no spaces between them, there would be 16 bits available to opcode assignment. This would result in a maximum of 2 16 = commands definable for the command class.

8 Defining a Command When adding or editing a command, its properties are defined in the Command Properties dialog (Figure 8). Figure 8. Example command definition. Simply give the command a unique name. The opcode for the command will automatically be assigned, taking into account any defined operands for the command class into which the command is added. Within the same command class, all commands and operands must have unique names. Each command will have a corresponding output pin in the interface, which will be driven High when enabled. Troubleshooting Sometimes adding an operand or command will fail. This happens because Altium Designer is unable to assign an opcode to all commands. When this happens, try one or more of the following solutions: Increase the data width of the command set if it is currently less than 32 bits Check that all operands are aligned to the low order bits, with no gaps between them Decrease the width of some operands if their expected range of values is smaller than the allocated width Remove some commands if they are not really needed. If none of the above solutions offer a viable possibility, simply add another command set to the custom interface and define the required additional commands and operands there. External Address Range An external address range allows access to a block of addresses on connected logic. This item type is defined using the External Address Range Properties dialog (Figure 9).

9 Figure 9. Configuration dialog for the external address range item. Use the dialog to define the following properties for the external address range: Name A unique name for the address range. Each item in the custom interface must have a unique name so that there are no name 'clashes' in the schematic document, the generated VHDL code, or the generated C code. If two items in different WB_INTERFACE components in the same design project have the same name, the functions generated in the C code will have the same name, resulting in a name 'clash'. Data Width The width of the data bus, in bits. This value can range from 1 to 32 bits, but must be less than or equal to, the value defined for the width of the Wishbone data bus. IO Type The direction of data access. You can specify Read, Write, or Read/Write. Immediate Acknowledgement Enable this option to have an acknowledgement sent immediately (and automatically) to the host processor through the ACK_O pin in the Wishbone interface, upon completion of a successful operation. This option should only be enabled if you are sure that the connected logic can quickly read/write data (i.e. within one clock cycle).

10 When this option is disabled, an ACK_I pin will be added to the custom interface for the external address range item. The connected logic must take this pin High to generate the acknowledge signal (High) on the WB_INTERFACE'S ACK_O line to the host processor. Address Width The width of the address bus ( ADR_O) to the connected logic, in bits. This value can range from 0 bits (Range = 1) to 32 bits (Range = 4GB). The base address is automatically assigned to an external address range item. In the main Configure (Wishbone Interface) dialog, the Item Address will reflect the address range in hex and binary format. Consider a WB_INTERFACE component configured with a single external address range item with a 5-bit address width. The It em Address will appear as: 0x00-0x1F (XXXXX) As there is only one item in the interface, no bits are used to decode the item. Therefore all five bits ( XXXXX) are forwarded to the connected logic in order to select an address in that component. To Read/Write address XXXXX on the component, put XXXXX on the Wishbone ADR_I bus. Now consider a second external address range item added to the interface, with an 8-bit address width. The Item Address entries for the two items will appear as: 5-bit width item: 0x100-0x11F (1000XXXXX) 8-bit width item: 0x000-0x0FF (0XXXXXXXX) Looking at our 5-bit width item, the 1000 prefix is used to decode the item, and the XXXXX bits are forwarded to the connected logic to select the required address. To Read/Write address XXXXX on the component, put 1000XXXXX o n the Wishbone ADR_I bus. The generated C code handles the different addressing modes. Graphical Attributes When the component is placed on a schematic sheet, this property allows you to specify the amount of blank space to be inserted after the item's bank of pins.

WB_INTERFACE Custom Wishbone Interface

WB_INTERFACE Custom Wishbone Interface WB_INTERFACE Custom Wishbone Interface Summary This document provides detailed reference information with respect to the WB_INTERFACE peripheral component. This component enables you to build custom Wishbone

More information

WB_INTERFACE - Pin Description

WB_INTERFACE - Pin Description WB_NTERFACE - Pin Description Frozen Content Modified by Admin on Sep 13, 2017 The following pin description is for the WB_NTERFACE component when used on the schematic. n an penbus System, although the

More information

NAND Flash Memories. Using Multiply Partition (with Skip IB) on Dataman Universal Device Programmers. (Quick Guide)

NAND Flash Memories. Using Multiply Partition (with Skip IB) on Dataman Universal Device Programmers. (Quick Guide) NAND Flash Memories Using Multiply Partition (with Skip IB) on Dataman Universal Device Programmers (Quick Guide) Application Note April 2012 an_dataman_nand_partitions, version 1.08 Version 1.08/04.2012

More information

CMSC 2833 Lecture Memory Organization and Addressing

CMSC 2833 Lecture Memory Organization and Addressing Computer memory consists of a linear array of addressable storage cells that are similar to registers. Memory can be byte-addressable, or word-addressable, where a word typically consists of two or more

More information

NAND Flash Memories. Using Multiply Partition (with Skip IB) on ELNEC Universal Device Programmers. (Quick Guide)

NAND Flash Memories. Using Multiply Partition (with Skip IB) on ELNEC Universal Device Programmers. (Quick Guide) NAND Flash Memories Using Multiply Partition (with Skip IB) on ELNEC Universal Device Programmers (Quick Guide) Application Note October 2017 an_elnec_nand_partitions, version 1.10 Version 1.10/10.2017

More information

BRIDGE PIF / WISHBONE

BRIDGE PIF / WISHBONE June 27 Politecnico of Torino BRIDGE PIF / WISHBONE Specification Authors: Edoardo Paone Paolo Motto Sergio Tota Mario Casu Table of Contents May 27 Table Of Contents Table of Figures May 27 Table Of Figures

More information

Reference Design RD1065

Reference Design RD1065 April 011 Reference Design RD1065 Introduction Most microprocessors have a General Purpose Input/Output (GPIO) interface to communicate with external devices and peripherals through various protocols These

More information

Caches. Hiding Memory Access Times

Caches. Hiding Memory Access Times Caches Hiding Memory Access Times PC Instruction Memory 4 M U X Registers Sign Ext M U X Sh L 2 Data Memory M U X C O N T R O L ALU CTL INSTRUCTION FETCH INSTR DECODE REG FETCH EXECUTE/ ADDRESS CALC MEMORY

More information

MDIO Master and Slave Controllers

MDIO Master and Slave Controllers November 2013 Introduction Reference Design RD1194 Management Data Input/Output Interfaces, or, are specified in the IEEE 802.3 standard and intended to provide a serial interface to transfer management

More information

//PSWDGEN EXEC PGM=RAIPWG //STEPLIB DD DISP=SHR,DSN=&RAILOAD //SYSTSPRT DD SYSOUT=* //SYSIN DD

//PSWDGEN EXEC PGM=RAIPWG //STEPLIB DD DISP=SHR,DSN=&RAILOAD //SYSTSPRT DD SYSOUT=* //SYSIN DD Appendix P Updating Product Passwords A CPU password is required to install and operate Relational Architects International (RAI) products on either a trial or permanent basis. The jobstream described

More information

This simulated machine consists of four registers that will be represented in your software with four global variables.

This simulated machine consists of four registers that will be represented in your software with four global variables. CSCI 4717 Computer Architecture Project 1: Two-Stage Instuction Decoder Due: Monday, September 21, 26 at 11:59 PM What to submit: You will be submitting a text file containing two C functions, fetchnextinstruction()

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

13-1 Memory and Caches

13-1 Memory and Caches 13-1 Memory and Caches 13-1 See also cache study guide. Contents Supplement to material in section 5.2. Includes notation presented in class. 13-1 EE 4720 Lecture Transparency. Formatted 13:15, 9 December

More information

Introduction. Purpose. Intended Audience. Conventions. Close

Introduction. Purpose. Intended Audience. Conventions. Close Introduction Introduction Verilog-XL is a simulator that allows you to test the logic of a design. The process of logic simulation in Verilog-XL is as follows: 1. Describe the design to Verilog-XL. 2.

More information

5 MEMORY. Figure 5-0. Table 5-0. Listing 5-0.

5 MEMORY. Figure 5-0. Table 5-0. Listing 5-0. 5 MEMORY Figure 5-0 Table 5-0 Listing 5-0 The processor s dual-ported SRAM provides 544K bits of on-chip storage for program instructions and data The processor s internal bus architecture provides a total

More information

Lab 3: Standard Combinational Components

Lab 3: Standard Combinational Components Lab 3: Standard Combinational Components Purpose In this lab you will implement several combinational circuits on the DE1 development board to test and verify their operations. Introduction Using a high-level

More information

Math 230 Assembly Programming (AKA Computer Organization) Spring 2008

Math 230 Assembly Programming (AKA Computer Organization) Spring 2008 Math 230 Assembly Programming (AKA Computer Organization) Spring 2008 MIPS Intro II Lect 10 Feb 15, 2008 Adapted from slides developed for: Mary J. Irwin PSU CSE331 Dave Patterson s UCB CS152 M230 L10.1

More information

a number of pencil-and-paper(-and-calculator) questions two Intel assembly programming questions

a number of pencil-and-paper(-and-calculator) questions two Intel assembly programming questions The final exam is Tuesday, Dec. 9, 3-5:30 PM, in the regular lab (SCIENCE 208) Material covered: from 4.12 (Extending Our Instruction Set) to 7.4.2 (Character I/O vs. Block I/O) The format is similar to

More information

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-15 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Introduction to the Bus Interface CICUTTIN Andres ICTP Multidisciplinary Laboratory

More information

LatticeMico32 SPI Flash Controller

LatticeMico32 SPI Flash Controller LatticeMico32 SPI Flash Controller The LatticeMico32 Serial Peripheral Interface (SPI) flash controller is a WISHBONE slave device that provides an industry-standard interface between a LatticeMico32 processor

More information

Release 0.8. Multi-Purpose Light Unit Technical Reference Manual

Release 0.8. Multi-Purpose Light Unit Technical Reference Manual Release 0.8 Multi-Purpose Light Unit Technical Reference Manual INTRODUCTION Introduction The Multi-Purpose Light unit is a multi-function DCC decoder that supports the following: DCC Characteristics 14

More information

Lesson 5: Verifying RAMs with the Fluke 9010A Version 1.03

Lesson 5: Verifying RAMs with the Fluke 9010A Version 1.03 Lesson 5: Verifying RAMs with the Fluke 9010A Version 1.03 Random Access Memory: One of the most common failures that occur on arcade PCBS are failures in Random Access Memory (RAM). These failures will

More information

LatticeMico32 GPIO. Version. Features

LatticeMico32 GPIO. Version. Features The LatticeMico32 GPIO is a general-purpose input/output core that provides a memory-mapped interface between a WISHBONE slave port and generalpurpose I/O ports. The I/O ports can connect to either on-chip

More information

See also cache study guide. Contents Memory and Caches. Supplement to material in section 5.2. Includes notation presented in class.

See also cache study guide. Contents Memory and Caches. Supplement to material in section 5.2. Includes notation presented in class. 13 1 Memory and Caches 13 1 See also cache study guide. Contents Supplement to material in section 5.2. Includes notation presented in class. 13 1 EE 4720 Lecture Transparency. Formatted 9:11, 22 April

More information

Aeroflex Colorado Springs Application Note

Aeroflex Colorado Springs Application Note Aeroflex Colorado Springs Application Note AN-SPW-005-001 Configuration of the UT200SpW4RTR Table 1: Cross Reference of Applicable Products Product Name: Manufacturer Part SMD # Device Internal PIC Number

More information

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver )

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver ) Topic 3 ARM Cortex M3(i) Memory Management and Access Department of Electronics Academic Year 14/15 (ver 25-10-2014) Index 3.1. Memory maps 3.2. Memory expansion 3.3. Memory management & Data alignment

More information

MIPS) ( MUX

MIPS) ( MUX Memory What do we use for accessing small amounts of data quickly? Registers (32 in MIPS) Why not store all data and instructions in registers? Too much overhead for addressing; lose speed advantage Register

More information

WB_UART8 Serial Communications Port

WB_UART8 Serial Communications Port Summary This document provides detailed reference information with respect to the UART peripheral device. Core Reference CR0157 (v3.1) August 01, 2008 Serial ports on embedded systems often provide a 2-wire

More information

JEDEC SOLID STATE TECHNOLOGY ASSOCIATION

JEDEC SOLID STATE TECHNOLOGY ASSOCIATION JEDEC STANDARD Serial Flash Discoverable Parameters (SFDP), for Serial NOR Flash JESD216 APRIL 2011 JEDEC SOLID STATE TECHNOLOGY ASSOCIATION NOTICE JEDEC standards and publications contain material that

More information

Materials: 1. Projectable Version of Diagrams 2. MIPS Simulation 3. Code for Lab 5 - part 1 to demonstrate using microprogramming

Materials: 1. Projectable Version of Diagrams 2. MIPS Simulation 3. Code for Lab 5 - part 1 to demonstrate using microprogramming CS311 Lecture: CPU Control: Hardwired control and Microprogrammed Control Last revised October 18, 2007 Objectives: 1. To explain the concept of a control word 2. To show how control words can be generated

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

Universität Dortmund. ARM Architecture

Universität Dortmund. ARM Architecture ARM Architecture The RISC Philosophy Original RISC design (e.g. MIPS) aims for high performance through o reduced number of instruction classes o large general-purpose register set o load-store architecture

More information

Processor design - MIPS

Processor design - MIPS EASY Processor design - MIPS Q.1 What happens when a register is loaded? 1. The bits of the register are set to all ones. 2. The bit pattern in the register is copied to a location in memory. 3. A bit

More information

WB_MP3DEC - Wishbone MP3 Decoder

WB_MP3DEC - Wishbone MP3 Decoder WB_MP3DEC - Wishbone MP3 Decoder Frozen Content Modified by on 13-Sep-2017 Parent article: FPGA Peripheral Components - Wishbone WB_MP3DEC - Wishbone MP3 Decoder. The Wishbone MP3 Decoder component (WB_MP3DEC)

More information

See also cache study guide. Contents Memory and Caches. Supplement to material in section 5.2. Includes notation presented in class.

See also cache study guide. Contents Memory and Caches. Supplement to material in section 5.2. Includes notation presented in class. 13 1 Memory and Caches 13 1 See also cache study guide. Contents Supplement to material in section 5.2. Includes notation presented in class. 13 1 LSU EE 4720 Lecture Transparency. Formatted 14:51, 28

More information

CENG3420 Lecture 03 Review

CENG3420 Lecture 03 Review CENG3420 Lecture 03 Review Bei Yu byu@cse.cuhk.edu.hk 2017 Spring 1 / 38 CISC vs. RISC Complex Instruction Set Computer (CISC) Lots of instructions of variable size, very memory optimal, typically less

More information

User Guide Gennum GN4124 to Wishbone bridge

User Guide Gennum GN4124 to Wishbone bridge ORGANISATION EUROPÉENNE POUR LA RECHERCHE NUCLÉAIRE EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH CERN BE-CO-HT User Guide Gennum GN4124 to Wishbone bridge May 2010 Edited by: Simon Deprez Checked by: Erik

More information

CS252 S05. Main memory management. Memory hardware. The scale of things. Memory hardware (cont.) Bottleneck

CS252 S05. Main memory management. Memory hardware. The scale of things. Memory hardware (cont.) Bottleneck Main memory management CMSC 411 Computer Systems Architecture Lecture 16 Memory Hierarchy 3 (Main Memory & Memory) Questions: How big should main memory be? How to handle reads and writes? How to find

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

Number Systems and Computer Arithmetic

Number Systems and Computer Arithmetic Number Systems and Computer Arithmetic Counting to four billion two fingers at a time What do all those bits mean now? bits (011011011100010...01) instruction R-format I-format... integer data number text

More information

GPIO IP Core Specification

GPIO IP Core Specification GPIO IP Core Specification Author: Damjan Lampret lampret@opencores.org Rev. 0.2 February 20, 2001 Preliminary Draft www.opencores.org Rev 0.2 Preliminary 1 of 18 Revision History Rev. Date Author Description

More information

Binary Logic (review)

Binary Logic (review) Binary Logic (review) Basic logical operators: (Chapter 7 expanded) NOT AND outputs 1 only if both inputs are 1 OR outputs 1 if at lest one input is 1 XOR outputs 1 if exactly one input is 1 a not 0 1

More information

CHAPTER ASSEMBLY LANGUAGE PROGRAMMING

CHAPTER ASSEMBLY LANGUAGE PROGRAMMING CHAPTER 2 8051 ASSEMBLY LANGUAGE PROGRAMMING Registers Register are used to store information temporarily: A byte of data to be processed An address pointing to the data to be fetched The vast majority

More information

ECE468 Computer Organization & Architecture. The Design Process & ALU Design

ECE468 Computer Organization & Architecture. The Design Process & ALU Design ECE6 Computer Organization & Architecture The Design Process & Design The Design Process "To Design Is To Represent" Design activity yields description/representation of an object -- Traditional craftsman

More information

A+3 A+2 A+1 A. The data bus 16-bit mode is shown in the figure below: msb. Figure bit wide data on 16-bit mode data bus

A+3 A+2 A+1 A. The data bus 16-bit mode is shown in the figure below: msb. Figure bit wide data on 16-bit mode data bus 3 BUS INTERFACE The ETRAX 100 bus interface has a 32/16-bit data bus, a 25-bit address bus, and six internally decoded chip select outputs. Six additional chip select outputs are multiplexed with other

More information

Octal & Hexadecimal Number Systems. Digital Electronics

Octal & Hexadecimal Number Systems. Digital Electronics Octal & Hexadecimal Number Systems Digital Electronics What, More Number Systems? Why do we need more number systems? Humans understand decimal Check out my ten digits! Digital electronics (computers)

More information

Zet x86 open source SoC

Zet x86 open source SoC http://zet.aluzina.org v1.1 19 Feb 2010 Contents 1 2 Contents 1 2 Terasic Altera DE1 - Cyclone II FPGA http://www.terasic.com.tw Physical devices 1 FPGA 2 SDRAM 3 SRAM 4 Flash 5 SD card 6 VGA 7 50 Mhz

More information

RS232-ADC16/24 Manual

RS232-ADC16/24 Manual RS232-ADC16/24 Manual Version 1.11 Copyright taskit GmbH 2009 www.taskit.de Page 1/22 Table of contents 1 Features...3 2 Introduction...3 3 Bringing into service...4 4 Application Sample...5 5 Frame layout...6

More information

Space engineering. SpaceWire Protocols

Space engineering. SpaceWire Protocols Space engineering SpaceWire Protocols This ECSS is a draft standard circulated for xxxxxxxxxx. It is therefore subject to change without notice and may not be referred to as an ECSS Standard until published

More information

ENGR 2031 Digital Design Laboratory Lab 7 Background

ENGR 2031 Digital Design Laboratory Lab 7 Background ENGR 2031 Digital Design Laboratory Lab 7 Background What we will cover Overview of the Simple Computer (scomp) Architecture Register Flow Diagrams VHDL Implementation of scomp Lab 7 scomp Architecture

More information

1 The mnemonic that is placed before the arithmetic operation is performed is A. AAA B. AAS C. AAM D. AAD ANSWER: D

1 The mnemonic that is placed before the arithmetic operation is performed is A. AAA B. AAS C. AAM D. AAD ANSWER: D 1 The mnemonic that is placed before the arithmetic operation is performed is B. AAS C. AAM D. AAD 2 The Carry flag is undefined after performing the operation B. ADC C. AAD D. AAM 3 The instruction that

More information

Module 2: Computer Arithmetic

Module 2: Computer Arithmetic Module 2: Computer Arithmetic 1 B O O K : C O M P U T E R O R G A N I Z A T I O N A N D D E S I G N, 3 E D, D A V I D L. P A T T E R S O N A N D J O H N L. H A N N E S S Y, M O R G A N K A U F M A N N

More information

(1) Define following terms: Instruction, Machine Cycle, Opcode, Oprand & Instruction Cycle. Instruction:

(1) Define following terms: Instruction, Machine Cycle, Opcode, Oprand & Instruction Cycle. Instruction: (1) Define following terms: Instruction, Machine Cycle, Opcode, Oprand & Instruction Cycle. Instruction: Instruction is the command given by the programmer to the Microprocessor to Perform the Specific

More information

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM).

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM). Page 4.1.2.5-1 4.1.2.5 - Appendix E: Specific PD s for Synchronous DRAM (SDRAM). 1.0 Introduction: This appendix describes the Presence Detects for Synchronous DRAM Modules with SPD revision level 2 (02h).

More information

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book BUILDING BLOCKS OF A BASIC MICROPROCESSOR Part PowerPoint Format of Lecture 3 of Book Decoder Tri-state device Full adder, full subtractor Arithmetic Logic Unit (ALU) Memories Example showing how to write

More information

SDRAM Interface Clocking for the NB3000

SDRAM Interface Clocking for the NB3000 SDRAM Interface Clocking for the NB3000 Frozen Content Modified by on 6-Nov-2013 NB3000XN 1. Schematic wiring for Xilinx DCM clocks. 2. Shared Memory Port PlugIn wiring. NB3000AL 1. Altera PLL wiring. 2.

More information

PCA General description. 2-to-1 I 2 C-bus master selector with interrupt logic and reset

PCA General description. 2-to-1 I 2 C-bus master selector with interrupt logic and reset Rev. 7.1 24 June 2015 Product data sheet 1. General description The is a 2-to-1 I 2 C-bus master selector designed for high reliability dual master I 2 C-bus applications where system operation is required,

More information

WB_SDHC - Wishbone SDHC Controller

WB_SDHC - Wishbone SDHC Controller WB_SDHC - Wishbone SDHC Controller Frozen Content Modified by Admin on Sep 13, 2017 Parent article: FPGA Peripheral Components - Wishbone WB_SDHC - Wishbone SDHC Controller. The Wishbone SDHC Controller

More information

ECE Lab 8. Logic Design for a Direct-Mapped Cache. To understand the function and design of a direct-mapped memory cache.

ECE Lab 8. Logic Design for a Direct-Mapped Cache. To understand the function and design of a direct-mapped memory cache. ECE 201 - Lab 8 Logic Design for a Direct-Mapped Cache PURPOSE To understand the function and design of a direct-mapped memory cache. EQUIPMENT Simulation Software REQUIREMENTS Electronic copy of your

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle

More information

Review of Last lecture. Review ALU Design. Designing a Multiplier Shifter Design Review. Booth s algorithm. Today s Outline

Review of Last lecture. Review ALU Design. Designing a Multiplier Shifter Design Review. Booth s algorithm. Today s Outline Today s Outline San Jose State University EE176-SJSU Computer Architecture and Organization Lecture 5 HDL, ALU, Shifter, Booth Algorithm Multiplier & Divider Instructor: Christopher H. Pham Review of Last

More information

Micro Module Shutter. Micro Module Shutter

Micro Module Shutter. Micro Module Shutter Developer Notes Micro Module Shutter Micro Module Shutter (XXXXX - Dev 0x01 / Sub 0xXX) Version 001 June 28, 2012 Revision History Rev Date Comments 001 6/28/12 Initial Release Table of Contents Firmware

More information

Homework 3. Assigned on 02/15 Due time: midnight on 02/21 (1 WEEK only!) B.2 B.11 B.14 (hint: use multiplexors) CSCI 402: Computer Architectures

Homework 3. Assigned on 02/15 Due time: midnight on 02/21 (1 WEEK only!) B.2 B.11 B.14 (hint: use multiplexors) CSCI 402: Computer Architectures Homework 3 Assigned on 02/15 Due time: midnight on 02/21 (1 WEEK only!) B.2 B.11 B.14 (hint: use multiplexors) 1 CSCI 402: Computer Architectures Arithmetic for Computers (2) Fengguang Song Department

More information

ECE 30 Introduction to Computer Engineering

ECE 30 Introduction to Computer Engineering ECE 0 Introduction to Computer Engineering Study Problems, Set #9 Spring 01 1. Given the following series of address references given as word addresses:,,, 1, 1, 1,, 8, 19,,,,, 7,, and. Assuming a direct-mapped

More information

CAN / RS485. Product Description. Technical Reference Note. Interface Adapter. Special Features

CAN / RS485. Product Description. Technical Reference Note. Interface Adapter. Special Features CAN / Interface Adapter For SHP Series Total Power: < 1 Watts Input Voltage: 5V Internal Outputs: CAN,, USB, I 2 C Special Features Input Protocols: 1) using Modbus 2) CAN using modified Modbus Output

More information

MODBUS APPLICATION PROTOCOL SPECIFICATION V1.1b3 CONTENTS

MODBUS APPLICATION PROTOCOL SPECIFICATION V1.1b3 CONTENTS MODBUS APPLICATION PROTOCOL SPECIFICATION V1.1b3 CONTENTS 1 Introduction... 2 1.1 Scope of this document... 2 2 Abbreviations... 2 3 Context... 3 4 General description... 3 4.1 Protocol description...

More information

PCI to SH-3 AN Hitachi SH3 to PCI bus

PCI to SH-3 AN Hitachi SH3 to PCI bus PCI to SH-3 AN Hitachi SH3 to PCI bus Version 1.0 Application Note FEATURES GENERAL DESCRIPTION Complete Application Note for designing a PCI adapter or embedded system based on the Hitachi SH-3 including:

More information

EET 1131 Lab #7 Arithmetic Circuits

EET 1131 Lab #7 Arithmetic Circuits Name Equipment and Components Safety glasses ETS-7000 Digital-Analog Training System Integrated Circuits: 7483, 74181 Quartus II software and Altera DE2-115 board Multisim simulation software EET 1131

More information

CS 261 Fall Binary Information (convert to hex) Mike Lam, Professor

CS 261 Fall Binary Information (convert to hex) Mike Lam, Professor CS 261 Fall 2018 Mike Lam, Professor 3735928559 (convert to hex) Binary Information Binary information Topics Base conversions (bin/dec/hex) Data sizes Byte ordering Character and program encodings Bitwise

More information

MODBUS APPLICATION PROTOCOL SPECIFICATION V1.1b3 CONTENTS

MODBUS APPLICATION PROTOCOL SPECIFICATION V1.1b3 CONTENTS MODBUS APPLICATION PROTOCOL SPECIFICATION V1.1b3 CONTENTS 1 Introduction... 2 1.1 Scope of this document... 2 2 Abbreviations... 2 3 Context... 3 4 General description... 3 4.1 Protocol description...

More information

8051 Interfacing: Address Map Generation

8051 Interfacing: Address Map Generation 85 Interfacing: Address Map Generation EE438 Fall2 Class 6 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas 85 Interfacing Address Mapping Use address bus and

More information

Virtex-II SiberBridge Author: Ratima Kataria & the SiberCore Applications Engineering Group

Virtex-II SiberBridge Author: Ratima Kataria & the SiberCore Applications Engineering Group Application Note: Virtex-II Family XAPP254 (v1.1) February 25, 2005 R Author: Ratima Kataria & the SiberCore Applications Engineering Group Summary Designed to be implemented in a Virtex -II FPGA, the

More information

Chapter Seven. Large & Fast: Exploring Memory Hierarchy

Chapter Seven. Large & Fast: Exploring Memory Hierarchy Chapter Seven Large & Fast: Exploring Memory Hierarchy 1 Memories: Review SRAM (Static Random Access Memory): value is stored on a pair of inverting gates very fast but takes up more space than DRAM DRAM

More information

Single Channel HDLC Core V1.3. LogiCORE Facts. Features. General Description. Applications

Single Channel HDLC Core V1.3. LogiCORE Facts. Features. General Description. Applications Sept 8, 2000 Product Specification R Powered by Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: logicore@xilinx.com URL: www.xilinx.com/ipcenter Support:

More information

15-740/ Computer Architecture, Fall 2011 Midterm Exam II

15-740/ Computer Architecture, Fall 2011 Midterm Exam II 15-740/18-740 Computer Architecture, Fall 2011 Midterm Exam II Instructor: Onur Mutlu Teaching Assistants: Justin Meza, Yoongu Kim Date: December 2, 2011 Name: Instructions: Problem I (69 points) : Problem

More information

Subnetting/Supernetting and Classless Addressing

Subnetting/Supernetting and Classless Addressing Subnetting/Supernetting and Classless Addressing CONTENTS SUBNETTING SUPERNETTING CLASSLESS ADDRSSING 5.1 SUBNETTING IP addresses are designed with two levels of hierarchy. Figure 5-1 A network with two

More information

SwitchLinc 2-Wire Dimmer (RF Only) (2474DWH - Dev 0x01 / Sub 0x24)

SwitchLinc 2-Wire Dimmer (RF Only) (2474DWH - Dev 0x01 / Sub 0x24) Developer Notes SwitchLinc 2-Wire Dimmer v004 Developer Notes SwitchLinc 2-Wire Dimmer (RF Only) (2474DWH - Dev 0x01 / Sub 0x24) Version 003 April 23, 2012 Revision History Rev Date Comments 001 1/27/12

More information

Lab 7: RPN Calculator

Lab 7: RPN Calculator University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory Lab 7: RPN Calculator The purpose of this lab is: Purpose 1. To get familiar with the use

More information

Technical Specification. Third Party Control Protocol. AV Revolution

Technical Specification. Third Party Control Protocol. AV Revolution Technical Specification Third Party Control Protocol AV Revolution Document AM-TS-120308 Version 1.0 Page 1 of 31 DOCUMENT DETAILS Document Title: Technical Specification, Third Party Control Protocol,

More information

3. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet

3. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet June 2011 C51014-3.4 3. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet C51014-3.4 Features The serial configuration devices provide the following features: 1-, 4-,

More information

TCP Channel Serial Interface RS232 / RS422 cpci Module. User Manual. The Embedded I/O Company. Version 1.0. Issue 1.

TCP Channel Serial Interface RS232 / RS422 cpci Module. User Manual. The Embedded I/O Company. Version 1.0. Issue 1. The Embedded I/O Company TCP866 8 Channel Serial Interface RS232 / RS422 cpci Module Version 1.0 User Manual Issue 1.3 September 2006 TEWS TECHNOLOGIES GmbH Am Bahnhof 7 Phone: +49-(0)4101-4058-0 25469

More information

More Programming with CUPL

More Programming with CUPL More Programming with CUPL Compiling PLD File Use WinCUPL or use another text editor to create your file Save your file with the extension.pld Compile using WinCUPL make sure you have no errors. Save your.jed

More information

Legacy documentation refer to the Altium Wiki for current information. TSK52x MCU

Legacy documentation refer to the Altium Wiki for current information. TSK52x MCU Legacy documentation TSK52x MCU Summary Core Reference CR0116 (v2.0) March 13, 2008 The TSK52x is a fully functional, 8-bit microcontroller, incorporating the Harvard architecture. This core reference

More information

MODEL: B09015-LAP-DALI Interface-M

MODEL: B09015-LAP-DALI Interface-M MODEL: B09015-LAP-DALI Interface-M PART NO: VERSION: V1.00 Approver GM PM Check Design Customer Confirm * Please fax the file to Zeroplus Technology after signing. 2F, NO.123, Jian Ba Rd, Tel:+886-2-66202225

More information

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 Using MSI Logic To Build An Output Port Many designs use standard MSI logic for microprocessor expansion This provides an inexpensive

More information

Lab 4: Arithmetic Logic Unit (ALU)

Lab 4: Arithmetic Logic Unit (ALU) EE 231-1 - Fall 2016 Lab 4: Arithmetic Logic Unit (ALU) Introduction The heart of every computer is an Arithmetic Logic Unit (ALU). This is the part of the computer which performs arithmetic operations

More information

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016 CS 31: Intro to Systems Digital Logic Kevin Webb Swarthmore College February 2, 2016 Reading Quiz Today Hardware basics Machine memory models Digital signals Logic gates Circuits: Borrow some paper if

More information

I 2 C Application Note in Protocol B

I 2 C Application Note in Protocol B I 2 C Application Note in Protocol B Description This document is a reference for a possible coding method to achieve pressure, temperature, and status for SMI part readings using I 2 C. This SMI Protocol

More information

MICROCOMPUTER OPERATION

MICROCOMPUTER OPERATION CET270 Intro to Microprocessors Notes 3 MICROCOMPUTER OPERATION Previously, we covered basics of microcomputers including terminology and simplified computer and processor architectural diagrams. Now we

More information

32 Channel HDLC Core V1.2. Applications. LogiCORE Facts. Features. General Description. X.25 Frame Relay B-channel and D-channel

32 Channel HDLC Core V1.2. Applications. LogiCORE Facts. Features. General Description. X.25 Frame Relay B-channel and D-channel May 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: logicore@xilinx.com URL: www.xilinx.com/ipcenter Support: www.support.xilinx.com Features

More information

Operations, Operands, and Instructions

Operations, Operands, and Instructions Operations, Operands, and Instructions Tom Kelliher, CS 220 Sept. 12, 2011 1 Administrivia Announcements Assignment Read 2.6 2.7. From Last Time Macro-architectural trends; IC fab. Outline 1. Introduction.

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

POWERKEY PRO & POWERKEY

POWERKEY PRO & POWERKEY 0101010101010101010101010 01010101010101010101010101010101010101 0101010101010101010101010 1010101010101010101010101 010101010101010101010101010101010101010101010101010101010 0101010101010101010101010101010101010101010010101010101010101010

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

TSEA44 - Design for FPGAs

TSEA44 - Design for FPGAs 2015-11-24 Now for something else... Adapting designs to FPGAs Why? Clock frequency Area Power Target FPGA architecture: Xilinx FPGAs with 4 input LUTs (such as Virtex-II) Determining the maximum frequency

More information

SDRAM Interface Clocking for the NanoBoard 2

SDRAM Interface Clocking for the NanoBoard 2 SDRAM Interface Clocking for the NanoBoard 2 NB2 + DB30 Xilinx Spartan 3 DaughterBoard 1. Schematic wiring for Xilinx DCM clocks. 2. Shared Memory Port Plugin wiring. NB2 + DB31 Altera Cyclone II DaughterBoard

More information

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM Memories Overview Memory Classification Read-Only Memory (ROM) Types of ROM PROM, EPROM, E 2 PROM Flash ROMs (Compact Flash, Secure Digital, Memory Stick) Random Access Memory (RAM) Types of RAM Static

More information

GIGAVAC Contactors I 2 C Communication

GIGAVAC Contactors I 2 C Communication Document Revision: 3 GIGAVAC Contactors I 2 C Communication Product models: MXST15/16-mm-ss, delay on break contactors. Attention: Read this instruction entirely for a top-level-feel of what you prefer

More information

4. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet

4. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet 4. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet C51014-3.0 Introduction The serial configuration devices provide the following features: 1-, 4-, 16-, 64-, and 128-Mbit

More information

Combinational Verilog Intro. EECS 270 Labs

Combinational Verilog Intro. EECS 270 Labs Combinational Verilog Intro EECS 270 Labs From Schematics to Verilog https://www.engineersgarage.com/articles/field-programmabl e-gate-arrays-fpga https://www.altera.com/content/dam/altera-www/global/en

More information