Chapter 1 Introduction of Electronic Packaging

Size: px
Start display at page:

Download "Chapter 1 Introduction of Electronic Packaging"

Transcription

1 Chapter 1 Introduction of Electronic Packaging 1

2 Introduction of Electronic Packaging 2

3 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3

4 Concept of Electric Packaging 4

5 Moore s s Law Gordon Moore Minimum feature (transistor) size, but overall size of chip was increasing Moore s Law: Largely as a result of feature size shrinkage, chip power was roughly doubly every 18 months, with a concurrent reduction in cost. Year of Introduction Transistors , , , , ,000 Intel386 processor ,000 Intel486 processor ,180,000 Intel Pentium processor ,100,000 Intel Pentium II processor ,500,000 Intel Pentium III processor ,000,000 Intel Pentium 4 processor ,000,000 Intel Itanium processor ,000,000 Intel Itanium 2 processor ,000,000 5

6 Classification of Electronic Packaging 0-level: interconnection system 1-level (component-level): package for silicon ICs 1.5-level: direct chip on board, intermediate level between the 1- & 2-level package 2-level (board-level): package for PCB as a modulus 3-level (system-level): package for specific functional system 6

7 Purpose of IC Packaging IC-to PCB circuit connection and trace density relaxing Example: IC pad pitch < solder bump pitch < solder ball pitch in flip-chip package Outline dimension standardization Unity of package configuration and interconnection for convenient usage in industry (module) IC chip heat dissipation Heat dissipation channels: conduction (> 85%), convection (10~15%) and radiation (<5%) Thermal conductivity dominates PKG thermal performance IC chip protection Mechanical strength 7

8 Birth of Electronic Packaging Can type package Origin: 1890 s census Herman Hollerith: mechanical tabulating machine derived by electrical motor that introduced the punch card (one of earliest computers) ENIAC: Electronic Numeric Integrator and Calculator, the worldwide 1 st electronic computer (World War II, 1947; vacuum tube-based computer limited operating time due to tube burnout) Vacuum tube: the first generation of electronic package (1900 s ~1950 s) 8

9 IC Package History 9

10 Package Category 10

11 Category of Package Type By material Ceramic Epoxy mounding compound (EMC) By inner interconnection (chip-to-package) Wire bonding (W/B) Control collapsed chip connection (C4), flip-chip bonding (FC) Tab automatic bonding (TAB) By outer interconnection (package-to-pcb) Pin through hole (PTH) Surface mount technology (SMT) By chip carrier material Leadframe Substrate 11

12 General Classification by configuration 2 DIP ZIP SIP PGA S-DIP SOP (Dual in-line Package) (Zigzag in-line Package) (Single in-line Package) (Pin Grid Array) (Shrink DIP) (Small Outline Package) IC 4 TSOP HSOP QFP (Thin Small Outline Package) (SOP with Heat Sink) (Quad Flat Package) TQFP (Thin Quad Flat Package) HQFP (QFP with Heat Sink) BQFP (QFP with Bumper) GQFP (QFP with Guard Ring) 2 SOJ (Small Outline J-leaded Package) J- HSOJ (SOJ with Heat Sink) QFJ (Quad Flat J-leaded Package) 2 SOI (Small Outline I-leaded Package) Joint Electron Device Engineering Council, JEDEC Electronic Industries Association of Japan, EIAJ International Electrotechnical Commission, IEC I- 4 TCP (TAB) HSOI (SOI with Heat Sink) 4 QFI (Quad Flat I-leaded Package) HQFI QFN BGA (QFI with Heat Sink) (Quad Flat Non-leaded Package) (Ball Grid Array) (Tape Carrier Package) (Tape Automatic Bonding) 12

13 Package Family/Outline 13

14 Package Family/Outline 14

15 Package Family/Outline 15

16 Package Family/Outline 16

17 Packaging Efficiency Comparison 17

18 18

19 Package Structure Design 19

20 How to determine a Package structure? PKG pin count number (Rent s rule) I/O number = ( n 1) N n: divergence of CMOS transistor N: total transistor number Applications PKG families (dual, quad, chip scale, BGA, TAB) Packaging dimensions Physical / geometrical constraints Thermal performance Thermal resistance (Theta-jc, Theta-jb & Theta-ja) Mechanical performance Warpage, solder fatigue life Electrical performance Cost Signal and power integrity 20

21 The Circuit Configuration of a CMOS Output Buffer Complementary Metal Oxide Semiconductor CMOS V CC R s pmos Drain-source resistance V R s = I OL d V CC V fi (A) First incident voltage V fi = Z 0 Z + 0 R s V CC V I V O nmos Bump V OH V fi (B) R s 0 1 Switch Time Delay TIME (nsec) GND 21

22 Three Legs of Package Material & structure Computer aid engineering (CAE) Weibull distribution ANSYS, ABQUS, LsDYNA Design for reliability (DFR) IcePAK, Fluent Optimization Reliable package and Reliability testing data analysis (RTDA) Failure mode effective analysis (FMEA) 22

23 Design for Reliability 23

24 Package Reliability Testing Items 22/lot: evaluation qualification (engineering) 77/lot: formal qualification (release to production) 24

25 Process Qualification Items 25

26 Process Qualification Items 26

27 Process Interaction on Failure Mechanisms 27

28 Failure Analysis Procedure 28

29 Bathtub Curve Unit: ppm/khrs Early Failure Rate (EFR) Wear-out Failure Rate (WFR ) Stable Failure Rate (SFR ) 29

30 Market Tendency 30

31 Sale Revenues of IC Industry 31

32 Roadmap of Assembly Market 32

33 33

34 34

35 Trend of Package Service in Semiconductor Industry Strategical alliance with front-end foundry houses Ally with foundry houses to be a co-developing relationship Secure the production capacity from allied foundry houses Maintain the leading position of the cutting edge technology Mergence fashion Massive economic of scale Discontinuity of packaging technology Low cost, high quality and worldwide business capability Advanced packaging technology Advanced technology equal to high profit Align with the development of IC technology (Moore s law) Increase the gap distance between the competitors Flip-chip technology becomes the mainstream technology 35

36 Growth and Stimulation of Backend Business 36

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Introduction Overview Of Intel Packaging Technology

Introduction Overview Of Intel Packaging Technology 1 1.1 Overview Of Intel Packaging Technology As semiconductor devices become significantly more comple, electronics designers are challenged to fully harness their computing power. Transistor count in

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Intro to Logic Gates & Datasheets. Digital Electronics

Intro to Logic Gates & Datasheets. Digital Electronics Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Epigap FAQs Part packges and form factors typical LED packages

Epigap FAQs Part packges and form factors typical LED packages 3. packges and form factors 3.1. typical LED packages Radiation from LEDs is generated by a semiconductor chip mounted in a package. LEDs are available in a variety of designs significantly influencing

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

This presentation will..

This presentation will.. Component Identification: Digital Introduction to Logic Gates and Integrated Circuits Digital Electronics 2014 This presentation will.. Introduce transistors, logic gates, integrated circuits (ICs), and

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Solder Reflow Guide for Surface Mount Devices Technical Note

Solder Reflow Guide for Surface Mount Devices Technical Note Solder Reflow Guide for Surface Mount Devices FPGA-TN-02041 Version 3.8 November 2017 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Reflow... 4 3. Inspection... 4 4. Cleaning Recommendations...

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Design and Assembly Process Implementation for BGAs

Design and Assembly Process Implementation for BGAs ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design and Assembly Process Implementation for BGAs Developed by the Device Manufacturers Interface Committee of IPC October 25, 2000 Users of this standard

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 MOTIVATION 1.1.1 LCD Industry and LTPS Technology [1], [2] The liquid-crystal display (LCD) industry has shown rapid growth in five market areas, namely, notebook computers,

More information

Quad Flat Pack No-Lead (QFN) Micro Dual Flat Pack No-Lead (udfn)

Quad Flat Pack No-Lead (QFN) Micro Dual Flat Pack No-Lead (udfn) Freescale Semiconductor Application Note AN1902 Rev. 4.0, 9/2008 Quad Flat Pack No-Lead (QFN) Micro Dual Flat Pack No-Lead (udfn) 1.0 Purpose This document provides guidelines for Printed Circuit Board

More information

Solder Reflow Guide for Surface Mount Devices

Solder Reflow Guide for Surface Mount Devices April 2008 Introduction Technical Note TN1076 This technical note provides general guidelines for a solder reflow and rework process for Lattice surface mount products. The data used in this document is

More information

Board Design Guidelines for Intel Programmable Device Packages

Board Design Guidelines for Intel Programmable Device Packages Board Design Guidelines for Intel Programmable Device Packages AN-114 2017.02.24 Subscribe Send Feedback Contents Contents 1 Board Design Guidelines for Intel Programmable Device Packages...3 1.1 Overview

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

IC Obsolescence Solutions

IC Obsolescence Solutions Company Overview March 12, 2015 Tuesday, October 03, 2017 IC FOOTPRINT CONVERSION ADAPTERS REPLACE OBSOLETE ICs WITHOUT RE-SPINNING YOUR PCB An IC Adapter is a small PCB designed that: Has a circuit and

More information

Module 7 Electronics Systems Packaging

Module 7 Electronics Systems Packaging Module 7 Electronics Systems Packaging Component Assembly, materials for assembly and joining methods in electronics -Surface Mount technology- design, fabrication and assembly; -failures library; -materials

More information

Release Notes Version 5

Release Notes Version 5 Release Notes Version 5 Version 5.1 (2017-01-31) Solder Joint Fatigue Calculix Support for Column Grid Array (CGA) modeling for Solder Joint Fatigue FEA analysis and the Solder Fatigue tool has been added

More information

Data Sheet. Prerequisites For Using the IPC Library. Library Features: IPC-SM Library. IPC-SM Standard. Library Organisation

Data Sheet. Prerequisites For Using the IPC Library. Library Features: IPC-SM Library. IPC-SM Standard. Library Organisation Data Sheet Prerequisites For Using the IPC Library This library works with and requires any Easy-PC For Windows V8.0 or a later version. Library Features: IPC-SM Library The optional IPC-SM library contains

More information

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998 package options pin compatibility design flexibility Advanced Packaging Solutions FineLine BGA vertical migration space

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Recent Advancements in Bus-Interface Packaging and Processing

Recent Advancements in Bus-Interface Packaging and Processing Recent Advancements in Bus-Interface Packaging and Processing SCZA001A February 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor

More information

Custom Connectors Overview

Custom Connectors Overview Company Overview March 12, 2015 Custom Connectors Overview Tuesday, October 03, 2017 CONNECTOR OVERVIEW Engineering Manufacturing Connector Products HiLo FlexFrame Custom Connectors Standard Connectors

More information

Selecting Sockets. Introduction. Mechanical Considerations for J-Lead Sockets. for Altera Devices

Selecting Sockets. Introduction. Mechanical Considerations for J-Lead Sockets. for Altera Devices Selecting Sockets for Altera Devices January 1998, ver. 2 Application Note 80 Introduction Altera offers a number of surface-mount packages. Surface-mount assembly places unique demands on the development

More information

PGA / BGA / PLCC SOCKETS

PGA / BGA / PLCC SOCKETS PGA / BGA / PLCC SOCKETS QUICK SELECTOR CHART PGA / BGA / PLCC PGA BGA PLCC GRID 2.54 mm INTERSTITIAL 1.27 mm 1.27 mm 1 mm SOCKETS Solder tail 156 161 165 SEE PAGE 173 Surface mount 156 161 165 166 169

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS

OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS Table 1a Product Generations and Chip Size Model Technology Nodes Near-term Years... 2 Table 1b Product Generations and Chip Size Model Technology

More information

Socket Technologies

Socket Technologies Socket Technologies Introduction Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Socket Technology

More information

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package by Fred Lee*, Jianjun Li*, Bindu Gurram* Nokibul Islam, Phong Vu, KeonTaek Kang**, HangChul Choi** STATS ChipPAC, Inc. *Broadcom

More information

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips Available contact styles: Elastomer interposers (10 Ghz & more) Probe pin sockets (generally below 5 Ghz) Other interposer styles

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE Next-Generation Electronic Packaging: Trend & Materials Challenges Yi-Shao Lai Group R&D ASE Jun 26, 2010 Evolution & Growth of Electronics 2 Evolution of Electronic Products Audion Tube (1906) Transistor

More information

in-built Vacuum Pick-up for large SMD ICs RS232 to USB interface for direct connection to PC

in-built Vacuum Pick-up for large SMD ICs RS232 to USB interface for direct connection to PC Hi-End Hi-features rich 3-in-1 SMT/PTH Rework System Model MFRS-500SUSB reliable & caring since 1976 in-built Vacuum Pick-up for large SMD ICs RS232 to USB interface for direct connection to PC LEAD RoHS

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

Patented socketing system for the BGA/CSP technology

Patented socketing system for the BGA/CSP technology Patented socketing system for the BGA/CSP technology Features: ZIF handling & only 40 grams per contact after closing the socket Sockets adapt to all package styles (at present down to 0.40mm pitch): Ceramic

More information

SFC05-4 ChipClamp ΤΜ Flip Chip TVS Diode Array PRELIMINARY Features

SFC05-4 ChipClamp ΤΜ Flip Chip TVS Diode Array PRELIMINARY Features Description The SFC05-4 is a quad flip chip TVS array. They are state-of-the-art devices that utilize solid-state siliconavalanche technology for superior clamping performance and DC electrical characteristics.

More information

Application Note 5363

Application Note 5363 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Lead-free Surface Mount Assembly Application Note 5363 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. September 2011 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. September 2011 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING September 2011 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Socket Technologies

Socket Technologies Socket Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

LTCC (Low Temperature Co-fired Ceramic)

LTCC (Low Temperature Co-fired Ceramic) LTCC (Low Temperature Co-fired Ceramic) Design Guide Line. 381, Wonchun-Dong, Paldal-Ku, Suwon City, Kyung Ki-Do, Republic of Korea Tel : 82-31-217-2500 (Ext. 470) Fax : 82-31-217-7316 Homepage : http://www.pilkorcnd.co.kr

More information

Agilent s AXI History

Agilent s AXI History Agilent s AXI History Development Overview Throughput Improvement Hardware Differences Software Release Comparison 8.X Software Stream Supportability Comparison Model and Major Change Details Model Differences

More information

LGA vs. BGA: WHAT IS MORE RELIABLE? A2 nd LEVEL RELIABILITY COMPARISON

LGA vs. BGA: WHAT IS MORE RELIABLE? A2 nd LEVEL RELIABILITY COMPARISON LGA vs. BGA: WHAT IS MORE RELIABLE? A2 nd LEVEL RELIABILITY COMPARISON Ahmer Syed and Robert Darveaux Amkor Technology 1900 S. Price Road Chandler, AZ 85226 (480)821-5000 asyed@amkor.com ABSTRACT A recent

More information

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc.

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc. Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc. RF/microwave design can be challenging, to say the least. Even with the impressive advances in computer aided design (CAD) software of the past

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING March 2015 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group SiP Catalyst for Innovation SWDFT Conference Calvin Cheung ASE Group May 31, 2007 Outline Consumer Electronic Market > Consumer Electronics Market Trends > SiP Drives Innovation > SiP Category SiP - Challenges

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Hermetic semiconductor SMDs (surface-mount devices) can now be successfully and economically

Hermetic semiconductor SMDs (surface-mount devices) can now be successfully and economically New Materials and Technologies Solve Hermetic SMD Integration by Tiva Bussarakons, International Rectifier, Inc., El Segundo, California as appeared in PCIM Power Electronic Systems Magazine, December

More information

Modularized & parametric modeling methodology.

Modularized & parametric modeling methodology. Modularized & parametric modeling methodology. Active Device Passive Device Vias Foot print Lid Wall floor PWB Ceramic Taxonomy Technique Mechanical Component Library Analysis model Thermal Structural

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

Best practices for EMI filtering and IC bypass/decoupling applications

Best practices for EMI filtering and IC bypass/decoupling applications X2Y Component Connection and PCB Layout Guidelines Best practices for EMI filtering and IC bypass/decoupling applications X2Y Attenuators, LLC 1 Common X2Y Circuit Uses EMI FILTERING Conducted and Radiated

More information

Evolution of the Computer

Evolution of the Computer Evolution of the Computer Janaka Harambearachchi (Engineer/Systems Development) Zeroth Generation- Mechanical 1. Blaise Pascal -1642 Mechanical calculator only perform + - 2. Von Leibiniz -1672 Mechanical

More information

Printed Circuit Board Assembly Analysis / 1

Printed Circuit Board Assembly Analysis / 1 Printed Circuit Board Assembly Analysis Introduction DFM Concurrent Costing The first step in the manufacture of the printed circuit board assembly is the fabrica on of the bare circuit board, o en called

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality NORTH CORPORATION Development of IC Packaging Components Enabling Increasing Product Functionality I. Bump Interconnection (NMBI) Business PWB technology shift toward increased circuit layer count and

More information

Vincotech's Compliant Pin. Application Note. Advantages of Vincotech's Power Modules with Press-fit Technology

Vincotech's Compliant Pin. Application Note. Advantages of Vincotech's Power Modules with Press-fit Technology Application Note Vincotech's Compliant Pin Advantages of Vincotech's Power Modules with Press-fit Technology Application Note no.: AN_2010-10_001-v04 Table of Contents Revision history:... 3 1 Abstract...

More information

Construction of an electrical model of a TQFP100 package with IC-EMC

Construction of an electrical model of a TQFP100 package with IC-EMC Construction of an electrical model of a TQFP100 package with IC-EMC A. Boyer INSA-GEI, 135 Av de Rangueil 31077 Toulouse France Contact : alexandre.boyer@insa-toulouse.fr web site : www.ic-emc.org Abstract:

More information

ENIAC - background. ENIAC - details. Structure of von Nuemann machine. von Neumann/Turing Computer Architecture

ENIAC - background. ENIAC - details. Structure of von Nuemann machine. von Neumann/Turing Computer Architecture 168 420 Computer Architecture Chapter 2 Computer Evolution and Performance ENIAC - background Electronic Numerical Integrator And Computer Eckert and Mauchly University of Pennsylvania Trajectory tables

More information

Release Notes Version 5

Release Notes Version 5 Release Notes Version 5 Version 5.2 (2017-05-01) IPC-2581 File Support Sherlock now supports IPC-2581 file import, as provided by the IPC-2581 Consortium. Entire circuit card definitions can be imported

More information

IDF-TO-3D User Guide

IDF-TO-3D User Guide Contents: 1. Supported Platforms 2. Creating a working session 3. Loading IDF files to your working session 4. Viewing Options 5. Zooming to a section of your PCB 6. 3D PCB Library Content 7. 3D PCB Libraries

More information

Akrometrix Testing Applications

Akrometrix Testing Applications Akrometrix Optical Techniques: Akrometrix Testing Applications Three full-field optical techniques, shadow moiré, digital image correlation (DIC), and fringe projection (performed by the DFP) are used

More information

Computer & Microprocessor Architecture HCA103

Computer & Microprocessor Architecture HCA103 Computer & Microprocessor Architecture HCA103 Computer Evolution and Performance UTM-RHH Slide Set 2 1 ENIAC - Background Electronic Numerical Integrator And Computer Eckert and Mauchly University of Pennsylvania

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

Computer Organization CS 206T

Computer Organization CS 206T Computer Organization CS 206T Topics Introduction Historical Background Structure & Function System Interconnection 2 1. Introduction Why study computer organization and architecture? Design better programs,

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

C Program Adventures. From C code to motion

C Program Adventures. From C code to motion C Program Adventures From C code to motion ECE 100 Prof. Erdal Oruklu From C code to motion C Code Motion x=5; if (x!=y) { z=0; } else { z=1; } 1 Compilation of C code Virtual machine program Program download

More information

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 2 Computer Evolution and Performance

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 2 Computer Evolution and Performance William Stallings Computer Organization and Architecture 8 th Edition Chapter 2 Computer Evolution and Performance Analytical Engine ENIAC - background Electronic Numerical Integrator And Computer Eckert

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Session 2. Burn-in & Test Socket Workshop Socket Design

Session 2. Burn-in & Test Socket Workshop Socket Design Session 2 Burn-in & Test Socket Workshop 2000 Socket Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They reflect

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Quad Flat Pack No-Lead (QFN)

Quad Flat Pack No-Lead (QFN) Freescale Semiconductor Application Note AN1902 Rev. 3.0, 12/2005 Quad Flat Pack No-Lead (QFN) 1.0 Purpose This document provides guidelines for Printed Circuit Board (PCB) design and assembly. Package

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

The Powermite Family. Contents. Introduction. Outperforms Conventional SMT

The Powermite Family. Contents. Introduction. Outperforms Conventional SMT The Powermite Family Introduction Powermite is Microsemi s patented low-profile architecture for packaging surface mount devices with the industry's highest power density in the smallest possible footprint.

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

Electronic Component Zero Orientation For CAD Library Construction

Electronic Component Zero Orientation For CAD Library Construction Electronic Zero Orientation For CAD Library Construction 3000 Lakside Drive Suite 309S Bannockburn, IL, 60015 Tel: 847-615-7100 Fax: 847-615-7105 www.ipc.org PCB Libraries, Inc. 701 Lee Street Des Plaines,

More information

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc.

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc. Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Impact of Technology Node Adoption Programmability & FPGA Expanding Application Space Review

More information

Solder Column Qualification for Ceramic Column Grid Array (CCGA) White Paper. Aeroflex Colorado Springs October 2006, Revised July 2008

Solder Column Qualification for Ceramic Column Grid Array (CCGA) White Paper. Aeroflex Colorado Springs October 2006, Revised July 2008 Solder Column Qualification for Ceramic Column Grid Array (CCGA) White Paper Aeroflex Colorado Springs October 2006, Revised July 2008 Introduction The aerospace industry continues to require increasing

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information