Architectures for Networks on Chips with Emerging Interconnect Technologies

Size: px
Start display at page:

Download "Architectures for Networks on Chips with Emerging Interconnect Technologies"

Transcription

1 CMPE 750 Project Presentation Architectures for Networks on Chips with Emerging Interconnect Technologies Sagar Saxena 1

2 Content System on chip : Idea & overview Need for Multi-Core chip NOCs Paradigm Traditionally used & Limitation 2D Conventional NOCs architecture Wiring complexity Emerging interconnect technology 3D NOCs Photonic NOCs CORONA ARCHITECTURE FIREFLY ARCHITECTURE On- chip RF/wireless interconnect On-chip Antennas used Small world network : Wattz Strogatz Model 2

3 System on chip Technology Scaling Transistor count: exponential growth Prohibitive manufacturing costs Over 100 millions for today s SoCs 10M$ - 100M$ 0.13micron designs Reusability + Platform Based Design 3

4 System on Board vs. System on Chip Conceptually System on Chip refers to integrating the components of a board onto a single chip. Looks straightforward but productivity levels are too low to make it a reality 4

5 Examples of IP Blocks in Use today RISC: ARM, MIPS, PowerPC, SPARC CISC: 680x0 x86 Interfaces: USB, PCI, UART, Rambus Encryptions: DES, AES Multimedia JPEG coder, MPEG decoder Networking: ATM switch, Ethernet Microcontroller: HC11, etc. DSP: Oak, TI, etc. SoC is forcing companies to develop high-quality IP blocks to stay in business. 5

6 Overview of Design and Technology Trends 1.5GHz Itanium chip (Intel), 410M tx, 374mm 2, 1.1 GHz POWER4 (IBM), 170M tx, if these trends continue, power will become unmanageable 150Mhz Sony Graphics Processor, 7.5M tx (logic) + 280M tx (memory) = 288M tx, 400mm 2 10W@1.8V if trend continues, most designs in the future will have a high percentage of memory

7 Contd Interconnects are the biggest bottleneck We need to look beyond the metal/dielectric based planar architectures Optical, 3D integration and Wireless are the emerging alternatives Single chip Bluetooth transceiver (Alcatel), 400mm 2, required 30 designers over 2.5 years (75 person years) if trend continues, it will be difficult to integrate larger systems on a single chip in a reasonable time

8 The number of transistors in a chip doubles approximately every two years This provide the computational power demanded so far Moore s Law Challenge : Scaling up of frequency causes elevated power dissipation. Source : Intel Original Moore's Law graph, 1965

9 Power Dissipation Scaling up speed/frequency is impossible 10,000 1,000 Nuclear Reactor Sun s Surface Rocket Nozzle Power Density (Watts/cu. m) Hot Plate Pentium processors Source: Intel

10 Multi Core Systems Era Challenge : Keeping up the demand of computational power as clock frequency cannot be scaled. Solution: Increase number of cores parallelism Intel, AMD dual core and quad core CPUs Custom Systems on Chip (SoCs) Number of cores will increase manifold in the next 5 10 years Intel processor New challenge: interconnection of the cores! Single-chip Cloud Computer

11 Noc: The Network on Chip Paradigm Packet switched on chip network Route packets, not wires Bill Dally, Dedicated infrastructure for data transport Decoupling of functionality from communication NoC infrastructure Multiple publications in IEEE ISSCC, 2010 from Intel, IBM, AMD, Renesas Tech. and Sun Microsystems show that multi-core NoC is a reality

12 Multi hop wireline communication Limitations of a Traditional NoC High Latency and energy dissipation source destination -core -NoC interface -NoC switch 80% of chip power will be from on-chip interconnects in the next 5 years ITRS, 2007

13 Novel Interconnect Paradigms for Multicore designs Optical Interconnects Three Dimensional Integration Wireless/RF Interconnects High Bandwidth and Low Energy Dissipation

14 Driven by The network-on-chip paradigm Increased levels of integration Complexity of large SoCs New designs counting 100s of IP blocks Need for platform based design methodologies DSM constraints (power, delay, time tomarket, etc ) 14

15 Some Common Architectures (a) Mesh, (b) Folded-Torus (FT) and (c) Butterfly Fat Tree (BFT) (a) (b) (c) - Functional IP - Switch 15

16 Data Transmission Packet based communication Low memory requirement Packet switching Wormhole routing Packets are broken down into flow control units or flits which are then routed in a pipelined fashion 16

17 Connecting Different IP Blocks Using Tree Architecture 17

18 2D Network on Chips Current SoC contains several different microprocessor components, memory sub systems and I/Os together on single chip. Fast developing SoC Market Development of Multi processor SoC platforms for embedded processors. MP SoC contains combination of numerous IPs performing computation on different clock frequencies which communicate with each other. 18

19 Challenges & Need Integration of all the blocks on the single chip. Non-scalable global wires, as delay increases exponentially with increase in the length. In ultra-deep sub-micron process 80 % of delay is due to interconnects FIFO are used for data synchronization but it becomes costly due to process variability and power dissipation for achieving cross chip signaling in single clock cycle. Thus there is a need for on chip interconnect architecture: frequently used are shared medium arbitrated bus. Hence use of network on chip : parallelism, exhibits modularity, less power consumption. High throughput and low latency, low energy consumption with little area overhead. 19

20 SPIN (Scalable, Programmable Interconnect Network) Guerrier and Greiner proposed SPIN Parent is represented four times at the entry. Picture shows N = 16 nodes, hence 16 IP blocks can be connected. Network growth = (NlogN)/8. Switches are placed at vertices and number of switches = (3N)/4 20

21 CLICHÉ (Chip level Integration of communicating heterogeneous Elements) mxn Mesh of switches interconnecting Ips Every switch is connecting to the neighboring four switches. 21

22 2D Torus Dally and Towles proposed 2D Torus 22

23 Folded Torus Enhanced version of 2D Torus. Can suitably be implemented in the VLSI implementation. The length of each segment remains same. 23

24 OCTAGON Karim et al. Proposed OCTAGON for MP SoC Picture shows 8 nodes and 12 bidirectional links. Communication between pair of nodes will take atleast 2 hops within the basic orthogonal unit. For more large systems orthogonal unit is extended to multidimensional space. 24

25 Butterfly Fat Tree (BFT) Ipsareplacedintheleavesandswitchesareplacedat the vertices. Nodes are denoted by (l,p) where l denotes level and p denotes position. Switch is denoted by S(l,p). Four down links corresponding to child ports and two uplinks for parent port, so total number of switches (at levelj=n/2^(j+1))atj=1isn/4. As we go on going up the switches required will reduce by 2. 25

26 Performance Metrics Architecture should exhibit > high throughput, low latency, energy efficiency, & low area overhead. Message Throughput > Transport Latency > Occurrence of message header from source to occurrence of tail at the destination. Energy > Energy consumed due to the use of interconnects and switches. Area Requirements > The area consumed by the switches and the interconnects. Some interconnects need to be buffered, this also increases the area. 26

27 Evaluation Methodology Developed Simulator for flit level event driven worm hole routing to study characteristics of interconnect architectures. Traffic injection is based on two distributions namely poisson and self similar. Each simulation run for 1000 cycles to allow transient effects to stabilize and then executed for 20,000 cycles. Throughput calculated as number of flits reaching each destination per unit time. Average latency and energy is calculated as shown in previous slide. For area complete VHDL model was synthesized using 0.13 micro meter technology and calculated for area. To determine interconnect energy capacitance was calculated for each topology. 27

28 No. of Channels & throughput Variation throughput under spatially uniform distribution Variation of latency with virtual channels 28

29 Energy Dissipation profile for uniform traffic

30 Chip area based on Topology Wire length between switches in BFT and SPIN architectures To keep inter switch delay within one clock cycle some wires need to be buffered. In CLICHÉ and Folded Torus, all the inter switch wires are of equal length and delay is within one clock cycle. In Octagon, the inter switch wires connecting functional IP blocks in disjoint octagon units needs to be buffered. 30

31 Area Overhead Silicon area overhead for all platforms under consideration across different technology nodes, assuming die size of 20mm x 20mm and each functional IP block consists of 100k gates equivalent of 2 input NAND gates. SPIN and Octagon has higher silicon area overhead due to higher degree of connectivity. The percentage of silicon area overhead for different platforms increases slightly with technology scaling but relative area remains unchanged. 31

32 Wiring complexity Wire lengths between switches in the BFT and SPIN depends upon level of switches. Inter switch wire length is given by: For CLICHÉ and Folder Torus all the wire segments are of same length. For CLICHÉ is given by and folded torus is all the wire lengths are double than CLICHÉ. In Octagon links are differentiated as long links (0 4, and 7 3), medium links(0 7, 3 4, 1 5, 2 6) and short links(0 1, 1 2, 2 3, 4 5, 5 6, and 6 7). 32

33 Wiring Octagon 33

34 Wiring SPIN 34

35 Wiring BFT 35

36 Conclusion NoC based architecture with respect to topology, various topologies such as SPIN, Folded Torus, CLICHÉ, Octagon and BFT are discussed with effect to various factors power consumption, Latency, effect on area, wiring complexity, throughput, bandwidth, etc. Results showing some can achieve high data rate with an expense of high energy while others can provide lower data rate with low energy consumption. Locality also is an important aspect while designing NoC as it can reduce power consumption and increase throughput significantly. These are important aspects of characterizing emerging network on chip architecture. 36

37 SO FAR. NOC Paradigm Traditionally used & Limitation 2D Conventional NOC architecture Wiring complexity Now. Emerging interconnect technology 3D NOCs Photonic NOCs CORONA ARCHITECTURE FIREFLY ARCHITECTURE On- chip RF/wireless interconnect On-chip Antennas used 37

38 Why go 3D?????? Reduction in total power consumption Smaller footprint Shorter interconnects Circuit Security and many more.. Figure 1. Stacked IC [2] 38

39 Three-Dimensional Integrated Circuits Developing very fast Active devices stacked in many layers Reasons Limited floor planning choices 2D IC Desire to integrate disparate technologies (GaAs, SOI, SiGe, BiCMOS) and diparate signals (analog, digital,rf) As small as 20µm Interconnect bottleneck 3D IC 39

40 3D NoC Stacking multiple active layers Manufacturability Mismatch between various layers Yield is an issue Temperature concerns Despite power advantages, reduced footprint increases power density Pavlidis et al., 3-D topologies for Networks-on-Chip, IEEE Transactions on Very Large Scale Integration (TVLSI),

41 Architectures 41

42 Photonic NoC High bandwidth photonic links for high payload transfers Challenges: On going research On chip integration of photonic components A. Shacham et al., Photonic Network-on-Chip for Future Generations of Chip Multi-Processors, IEEE Transactions on Computers,

43 Corona Architecture HP Labs Serpentine Waveguide 3D layer for photonic devices 43 43

44 Firefly Architecture *Yan Pan, Prabhat Kumar, John Kim, Gokhan Memik, Yu Zhang, and Alok Choudhary Firefly: illuminating future network-on-chip with nanophotonics. In Proceedings of the 36th annual international symposium on Computer architecture (ISCA '09). ACM, New York, NY, USA,

45 On-Chip RF/Wireless Interconnects Replace long distance wires Use of waveguides out of package or IC structures like parallel metal wires Chang et al. demonstrated Transmission Line based RF interconnect for on chip communication Not really wireless 45

46 STATE OF ART OF NoC 46

47 Several types Metal Carbon Nanotube (CNT) On Chip Antennas High B/W, frequency light (IR, visible, UV) Small wavelength: small antennas less area CNTs as Optical Antennas Directional radiation characteristics Agrees with radio antenna theory Laser excitation Kempa, et al., "Carbon Nanotubes as Optical Antennae," Advanced Materials, Slepyan, et al., "Theory of optical scattering by achiral carbon nanotubes and their potential as optical nanoantennas," Physical Review B. 47

48 Reliability of CNT Antennas Various uncertainties in CNT growth Length Diameter Electronic properties Semiconducting vs. Metallic Rates of uncertainties tend to be high Much higher than CMOS processes Can lead to poor performance Failure of wireless links 48

49 Design Constraints Limited wireless bandwidth Off chip laser sources Design of metal antennas/transceivers On chip wireless nodes have associated overhead Transceiver Modulator/demodulator antennas How to efficiently distribute the wireless resources? 49

50 Hybrid nature of the WiNoC Augment wireline with wireless Not completely wireless Can use wireless links only for long distance communication >10mm 50 50

51 Architectural Solutions in Nature Natural Complex Networks Brain: Efficient function and memory retention Microbes: Biological Networks social networks Paul Erdös 51

52 Topology Small-World graphs: The Watts-Strogatz Model Often found in nature Scales well: low average distance Few high speed shortcuts: Wireless Local, shorter links: Wireline regular lattice L: Hi C: Hi Small-world L: Lo C: Hi random graph L: Lo C: Lo 52

53 Small World and Scale-Free Network Model Stochastic links Power-tail probability distribution SF: Degree/node SW: Link Length P l or d 9 May

54 Fault-Tolerant Wireless NoC Small-World topology Inherently fault-tolerant Link Insertion Following adjacent probability distribution Few high speed shortcuts: Wireless Interconnects Local, shorter links: Wireline P(i, j) l ij f ij i j l ij f ij 54

55 Experimental Setup Topology Small World wire line and wireless System Size 64 & 256 cores Representative of current industry designs Wireless links 24 links B.G. Lee et al., Ultrahigh-Bandwidth Silicon Photonic Nanowire Waveguides for On-Chip Networks, IEEE Photonics Technology Letters,

56 This image cannot currently be displayed. Throughput Flits/core/cycle Experimental Results 64 cores 256 cores Minimal effect on performance with wireless link failures A. Ganguly, et al., Complex Network Inspired Fault-tolerant NoC Architectures with Wireless Links, Proc. Of IEEE/ACM International Symposium on Networks-on-Chip (NOCS), May,

57 This image cannot currently be displayed. This image cannot currently be displayed. Comparison of Saturation Throughput Hierarchical Wireless NoC (WiNoC) Same CNT wireless links 64 cores 256 cores Better fault-tolerance than hierarchical NoC 57

58 Packet Energy Dissipation Significantly less than wireline NoCs Packet Energy Dissipation Increase is insignificant with wireless link failures 58

59 This image cannot currently be displayed. This image cannot currently be displayed. Wiring & Area Overheads Wiring requirements for 64 core systems Area overheads for 64 core systems Insignificant real estate overheads 59

60 Conclusion On-chip wireless communication with CNT antenna Efficient NoC architecture can be built But emerging interconnect technologies are defect-prone Inherent resilience of natural complex networks Wireless NoC topologies inspired by the small-world architecture Better power-performance trade-off Fault-Tolerance Security 60

61 REFERENCE PAPERS Networks on Chip in a Three Dimensional Environment: A Performance Evaluation. Scalable Hybrid Wireless Network on Chip Architectures for Multi Core. Systems Co design of 3D Wireless Network on Chip Architectures with Microchannel Based Cooling. Performance Evaluation and Design Trade Offs for Network on Chip Interconnect Architectures. Corona: System Implications of Emerging Nanophotonic Technology. Firefly: IlluminatingFuture Network on Chip with Nanophotonics. It s a Small World After All : NoC Performance Optimization Via Long Range Link Insertion. 61

62 62

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES Sujay Deb, Kevin Chang, Amlan Ganguly, Partha Pande School of Electrical Engineering and Computer Science, Washington State

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Basic Network-on-Chip (BANC) interconnection for Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Abderazek Ben Abdallah, Masahiro Sowa Graduate School of Information

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology Outline SoC Interconnect NoC Introduction NoC layers Typical NoC Router NoC Issues Switching

More information

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 11-2017 FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Deekshith Shenoy

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE By HAIBO ZHU A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN

More information

on Chip Architectures for Multi Core Systems

on Chip Architectures for Multi Core Systems Wireless Network on on Chip Architectures for Multi Core Systems Pratheep Joe Siluvai, Qutaiba Saleh pi4810@rit.edu, qms7252@rit.edu Outlines Multi-core System Wired Network-on-chip o Problem of wired

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

SOC design methodologies will undergo revolutionary

SOC design methodologies will undergo revolutionary IEEE TRANSACTIONS ON COMPUTERS, VOL. 54, NO. 8, AUGUST 2005 1025 Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures Partha Pratim Pande, Student Member, IEEE, Cristian

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Department of Computer Science Columbia University in the City of New York NSF Workshop on Emerging Technologies

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

On-Chip Communications

On-Chip Communications On-Chip Communications Somayyeh Koohi Department of Computer Engineering Sharif University of Technology 1 Introduction Adapted with modifications from lecture notes prepared by S.Pasricha and N.Dutt Outline

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip? Instructor: Jan Rabaey EECS141 1 Introduction to digital integrated circuit design engineering Will describe models and key concepts needed to be a good digital IC designer Models allow us to reason about

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

ECE/CS 757: Advanced Computer Architecture II Interconnects

ECE/CS 757: Advanced Computer Architecture II Interconnects ECE/CS 757: Advanced Computer Architecture II Interconnects Instructor:Mikko H Lipasti Spring 2017 University of Wisconsin-Madison Lecture notes created by Natalie Enright Jerger Lecture Outline Introduction

More information

Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems.

Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems. Cluster Networks Introduction Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems. As usual, the driver is performance

More information

An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links

An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 6-2015 An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links Jagan

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

3D WiNoC Architectures

3D WiNoC Architectures Interconnect Enhances Architecture: Evolution of Wireless NoC from Planar to 3D 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan Sep 18th, 2014 Hiroki Matsutani, "3D WiNoC Architectures",

More information

High Throughput and Low Power NoC

High Throughput and Low Power NoC IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 5, o 3, September 011 www.ijcsi.org 431 High Throughput and Low Power oc Magdy El-Moursy 1, Member IEEE and Mohamed Abdelgany 1 Mentor

More information

The Impact of Optics on HPC System Interconnects

The Impact of Optics on HPC System Interconnects The Impact of Optics on HPC System Interconnects Mike Parker and Steve Scott Hot Interconnects 2009 Manhattan, NYC Will cost-effective optics fundamentally change the landscape of networking? Yes. Changes

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Interconnection Networks

Interconnection Networks Lecture 17: Interconnection Networks Parallel Computer Architecture and Programming A comment on web site comments It is okay to make a comment on a slide/topic that has already been commented on. In fact

More information

A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES

A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES 1 Jaya R. Surywanshi, 2 Dr. Dinesh V. Padole 1,2 Department of Electronics Engineering, G. H. Raisoni College of Engineering, Nagpur

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş Evolution of Computers & Microprocessors Dr. Cahit Karakuş Evolution of Computers First generation (1939-1954) - vacuum tube IBM 650, 1954 Evolution of Computers Second generation (1954-1959) - transistor

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

BlueGene/L. Computer Science, University of Warwick. Source: IBM

BlueGene/L. Computer Science, University of Warwick. Source: IBM BlueGene/L Source: IBM 1 BlueGene/L networking BlueGene system employs various network types. Central is the torus interconnection network: 3D torus with wrap-around. Each node connects to six neighbours

More information

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs*

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* Eui Bong Jung 1, Han Wook Cho 1, Neungsoo Park 2, and Yong Ho Song 1 1 College of Information and Communications, Hanyang University,

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB

MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS SUJAY DEB MILLIMETER-WAVE WIRELESS NETWORK-ON-CHIP: A CMOS COMPATIBLE INTERCONNECTION INFRASTRUCTURE FOR FUTURE MANY-CORE PROCESSORS By SUJAY DEB A dissertation submitted in partial fulfillment of the requirements

More information

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips Research Statement Current Research Interests: My current research principally revolves around the broad topic of Network-on-Chip (NoC), which has emerged as the communication backbone for multi-core chips.

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects

Enhancing Performance of Network-on-Chip Architectures with Millimeter-Wave Wireless Interconnects Enhancing Performance of etwork-on-chip Architectures with Millimeter-Wave Wireless Interconnects Sujay Deb, Amlan Ganguly, Kevin Chang, Partha Pande, Benjamin Belzer, Deuk Heo School of Electrical Engineering

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE Jacob Murray, Partha Pratim Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University {jmurray, pande,

More information

Tree-structured small-world connected wireless network-on-chip with adaptive routing

Tree-structured small-world connected wireless network-on-chip with adaptive routing Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections -- Tree-structured small-world connected wireless network-on-chip with adaptive routing Andrew Benjamin Follow

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Interconnection Network

Interconnection Network Interconnection Network Recap: Generic Parallel Architecture A generic modern multiprocessor Network Mem Communication assist (CA) $ P Node: processor(s), memory system, plus communication assist Network

More information

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges 228 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges Sujay Deb, Student

More information

Available online at ScienceDirect. Procedia Computer Science 89 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 89 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 89 (2016 ) 180 186 Twelfth International Multi-Conference on Information Processing-2016 (IMCIP-2016) A Perspective on

More information

Lecture 2: Topology - I

Lecture 2: Topology - I ECE 8823 A / CS 8803 - ICN Interconnection Networks Spring 2017 http://tusharkrishna.ece.gatech.edu/teaching/icn_s17/ Lecture 2: Topology - I Tushar Krishna Assistant Professor School of Electrical and

More information

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Sergi Abadal*, Albert Cabellos-Aparicio*, José A. Lázaro, Eduard Alarcón*, Josep Solé-Pareta*

More information

THE Network-on-Chip (NoC) paradigm has emerged as a

THE Network-on-Chip (NoC) paradigm has emerged as a IEEE TRANSACTIONS ON COMPUTERS, VOL. 60, NO. 10, OCTOBER 2011 1485 Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems Amlan Ganguly, Member, IEEE, Kevin Chang, Student Member,

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

High-Level Simulations of On-Chip Networks

High-Level Simulations of On-Chip Networks High-Level Simulations of On-Chip Networks Claas Cornelius, Frank Sill, Dirk Timmermann 9th EUROMICRO Conference on Digital System Design (DSD) - Architectures, Methods and Tools - University of Rostock

More information

Interconnection Networks

Interconnection Networks Lecture 18: Interconnection Networks Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Credit: many of these slides were created by Michael Papamichael This lecture is partially

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

Hardware Evolution in Data Centers

Hardware Evolution in Data Centers Hardware Evolution in Data Centers 2004 2008 2011 2000 2013 2014 Trend towards customization Increase work done per dollar (CapEx + OpEx) Paolo Costa Rethinking the Network Stack for Rack-scale Computers

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links Sujay Deb, Kevin Chang, Miralem Cosic, Partha Pande, Deukhyoun Heo, Benjamin Belzer School of Electrical Engineering

More information

Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip

Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip Claudia usu Vladimir Pasca Lorena Anghel TIMA Laboratory Grenoble, France Outline Introduction Link Level outing Level Application Level Conclusions

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN Giovanni De Micheli Luca Benini CSL - Stanford University DEIS - Bologna University Electronic systems Systems on chip are everywhere Technology

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Temperature Evaluation of NoC Architectures and Dynamically Reconfigurable NoC

Temperature Evaluation of NoC Architectures and Dynamically Reconfigurable NoC Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2-2014 Temperature Evaluation of NoC Architectures and Dynamically Reconfigurable NoC Aniket Dilip Mhatre Follow

More information

EE141- Spring 2007 Introduction to Digital Integrated Circuits

EE141- Spring 2007 Introduction to Digital Integrated Circuits - Spring 2007 Introduction to Digital Integrated Circuits Tu-Th 5pm-6:30pm 150 GSPP 1 What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip

Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip 0 Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip Haera Chung, Portland State University Christof Teuscher, Portland State University Partha Pande, Washington State University

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer

More information