THE NEW ERA ON LOW POWER DESIGN AND VERIFICATION METHODOLOGY

Size: px
Start display at page:

Download "THE NEW ERA ON LOW POWER DESIGN AND VERIFICATION METHODOLOGY"

Transcription

1 THE NEW ERA ON LOW POWER DESIGN AND VERIFICATION METHODOLOGY 1 NAVEEN KUMAR CHALLA, 2 USHA RANI NELAKUDITI 1,2 Department of Electronics and Communications Engineering, Vignan s Foundation for Science, Technology and Research University, Guntur, Andhra Pradesh, India 1 ch.naveen108@gmail.com, 2 usharani.nsai@gmail.com Abstract In earlier days, after IC is fabricated the main objective is to verify the functionality. Since overlook of errors at this level causes big loss in terms of money and time, if errors occurs during design/coding level according to the law of ten..but current day paradigm has been shifted to power instead of functionality due to demand of high speed VLSI structures together with network processors in networking or SOCs in communication. Keep this in view this paper deals with the review of various power aware designs like clock gating, power gating, dynamic voltage scaling and frequency scaling. It also explains recent popular IEEE 1801Unified power format (UPF) used for design and verification of low power Integrated Circuits.UPF translated energy design into an executable hierarchical parallel system design. This method is a systematic approach and paves the solution to many critical designs. Index Terms Low Power Verification, Unified Power Format, Register Transfer Level, Power-Aware design, Clock gating, Power gating, Frequency scaling. I. INTRODUCTION In semiconductor industries power aware verification is an important aspect. Shrinking geometries have led designers to appoint numerous power aware verification solutions to minimize static and dynamic power dissipation. The increasing demand for highoverall performance computing interest has been changed from conventional constraints to power intake in case of battery-operated system-on-chips (SoC). System nodes under a 100 nm, power consumption is mainly due to leakage which constitutes 40 percent in case of65nm node. The quadratic dependency of power leakage on the total transistor count qualifies leakage optimization as a key design objective. Therefore,designers have redirected their efforts towards exploring numerous techniques that reduce leakage and, thereby enhancing the battery life of products.there are numerous strategies which have been developed during the last decade to deal with the power requirements of ASIC and SoC designs [5]. They include clock gating, multi-switching (multi- Vt) threshold transistors, dynamic voltage and frequency scaling (DVFS), substrate biasing and unified power format.[2]. A. Basics of Power Optimization As a primary order approximation, the power consumption of CMOS gate can be approximated as in eqn(1). P = 0.5 CLV2f + VI (1) Where V is the supply voltage, CL is the load capacitance, f is the switching frequency. The frequency f of this equation describes the dynamic power of the design and the second term describes the static power. To reduce the total power, it is vital to reduce both additives of this equation, or at the least make sure that there may be a cumulative reduction. To optimize energy, it's important to make sure each level of the design right from set of design rules to transistor size is to be optimized by retaining any terrible impact on the subsequent stage to be minimal. Though at geometries of 32 nm or underneath, dynamic power constitutes a major component of the entire power dissipation, dynamic power designs mainly concentrate on switching activity. Clock gating is a popular design technique used to optimize dynamic power. The granularity of clock gating and the effect it has on average power consumption depends at the segment of the design cycle. B. Low Power Design The low power design requires proper power control essentially at 90nm and 65nm. The power dissipation with respect to technology is shown in Fig.1. Fig.1.Total power Vs Technology From the figure, leakage power increases as technology scales down. The growing of leakage with geometries due to the thin silicon partition. Conventional low power design techniques include reduction of Vdd and clock gating. To preserve performance and stability Vdd is more optimal. 160

2 C. Need for low power design Now-a-days semiconductor designs like cell phones and networking or garage gadgets decrease power for longer battery life. power handling in complicated system-on-chips (SoC) and custom processors is rising. Shutting down the blocks can be accomplished either by software program or hardware.hardware timers can be utilized.[6]. D. Need of Verification Verification isn't the same as design, but it calls for whole understanding of the design. A primary purpose of functional verification is ready finding disasters, figuring out insects and correcting earlier than they're mapped into the IC. As electronics marketplace is converting hastily and its increase being large it induces designers to head for complicated IC design and packing them into small areas. So system on chip (SOC) are advanced. 70 % of design attempt goes to verification. Checking of complex design, keeping high brow belongings (IP), trying out of SOC makes verification a tough mission. So in an enterprise the range of verification engineers is lots extra than RTL designers. II. LOW POWER DESIGN TECHNIQUES IN VLSI Though Voltage reduction up to sub one volt is the important low power method some other design based methods are explained in this session. Some of the RTL degree strategies used mainly to lessen the dynamic power of the design are mentioned underneath. A. Clock gating Generally used at RTL and gate-level abstractions as shown in fig.2..it is a dynamic power control technique uses a gated clock presents a way to selectively stop the clock, forces the original circuit to make no transition at the subsequent redundant clock cycle. For reactive circuits, the range of clock cycles in which the design is idle is large.[6]. Fig.3. Power Gating C. Multi-Voltage design Multi-Threshold CMOS (MTCMOS) is a variant of CMOS chip generation, which has transistors with multiple threshold voltages (Vth) as shown in Fig.4 in an effort to optimize power. Low Vth devices switch faster, and are therefore beneficial for essential delay paths to minimize clock intervals. The disadvantage with low Vth devices has substantially higher static leakage power. Excessive Vth devices are used for non-critical paths to reduce static leakage power without incurring a delay penalty. Typical excessive Vth gadgets lessen static leakage by way of 10 times in comparison with low Vth gadgets. Fig.4.Multi-Voltage Design D. Voltage/ Frequency scaling Dynamic frequency scaling as shown in Fig.5 is also referred to as CPU throttling is a technique in laptop structure wherein the frequency of a microprocessor may be automatically adjusted "at the fly," either to conserve power or to reduce the quantity of warmth generated by using the chip. Dynamic frequency scaling is typically utilized in laptops and different cell gadgets, in which power comes from a battery and as a result is restrained.[6]. Fig.2. Clock Gating B. Power gating Power gating as shown in Fig.3 is utilized in integrated circuit design to lessen power consumption, by way of shutting off the contemporary blocks of the circuit that are not in use. It also influences design, architecture more than clock gating. It will increase time delays, as power gated modes have to be correctly entered and exited. Fig.5.Voltage/Frequency Scaling 161

3 III. IEEE 1801 OR UPF Hardware Description Language (HDL) semantics do not take power into account. Consequently the traditional flows lack the ability to include the power motive of a design and also power aware verification. In 2007, Accellera added a Tcl -based way of defining the power motive of a design, named Unified Power Format (UPF.) Later, IEEE , [1] a trendy format for outlining power reason, become added to the ASIC enterprise. Nowadays, IEEE 1801 (UPF) makes it possible to design low power integrated circuits, trap the purposeful mistakes that would have been prompted by powercontrol strategies, and verify a low power operation in the course of simulation and/or emulation. The position of IEEE 1801 (UPF) is to include the power control constructs including power switches, isolation cells, retention registers, etc. inside the design as early as feasible, so that verification and debug of the SoC can be started out earlier in the timeline and doubtlessly save it from errors, massive or small. Doing so permits the verification engineers to check the SoC with power-control considerations.[1]. IV. EVOLUTION OF UPF As of now, there are three versions, specifically UPF 1.0, UPF 2.0 [5] and UPF 2.1 evolved by using Accellera. UPF 1.0 centered on adding power cause to the existing HDL. It changed into based on incredibly simple concepts and instructions.upf 2.0 changed into authorized in March 2009 and was backward well matched with UPF 1.0.It turned into mainly targeted on IP improvement and refinement. And the last and present UPF 2.1 model become permitted in March 2013.UPF 2.1 became also based on UPF 1.0 and except new abstractions have been added which turned into completely supported in any complicated SoC designs. UPF 2.1 clarifies and enhances UPF 2.0 residences. The evolution of all the 3 variations has been proven in the parent under in Fig.6.[1]. chip. Three UPF files have been provided to us via the implementation crew as they are also used by them in the implementation go with the flow. those UPF documents have been generated supplying one UPF file for each cluster and a top degree UPF record. The cluster degree UPF files were hierarchically scoped into the top stage UPF in preference to the usage of just one UPF file defining the full chip. A unmarried UPF file is hard to read and to debug. Defining separate UPFs as approach is a clean way to hold the gadget stage power rationale approach and i would suggest doing it this way. on this phase we can speak briefly the various sections of a UPF record. One analogy to give an explanation for the UPF record would be the power wiring in your property. there's a power deliver that is connected to specific sections (domain names) of the house thru switches the usage of wires. The following section briefly describes the various additives of a UPF file, and we ll comply with this analogy via as we describe the important thing features of UPF[5]. A. Create Power Domains Power domain names are like the rooms in the house with all of their exceptional components like lighting fixtures, outlets to power TV s, stereos, and many others. Power domains are used to outline a collection of layout components so that it will be controlled through a power supply. In this situation, we needed to define 3 such power domains. The code beneath indicates how to outline a power domain. create_power_domain PD_TOP create_power_domain PD_cluster0 -elements {CLUSTER0} create_power_domain PD_cluster1 -elements {CLUSTER1} B. Create supply ports The deliver ports are just like the major deliver to the house that feeds into the circuit breaker. There may be more than one supply port defined for a design. create_supply_port VDD_0d99 -domain PD_TOP create_supply_port VDD_0d81 -domain PD_TOP create_supply_port VSS -domain PD_TOP Fig.6. Evolution of UPF V.BUILDING THE UNIFIED POWER FORMAT FILE (UPF) A UPF record is defined by way of the IEEE popular to describe the power motive of the C. Create supply nets The supply nets are like the wiring between the breakers within the house and the primary electricity deliver In the example beneath we're growing a internet named net_vdd_0d99_n and this can deliver electricity to the CLUSTER0 power area. create_supply_net vdd_0d99_n -domain PD_cluster0 create_supply_net vdd_0d81_n -domain PD_cluster1 create_supply_netvss_n -domain PD_cluster0 create_supply_netvss_n -domain PD_cluster1 D. Connecting supply ports to supply nets The deliver nets want to be related to the deliver ports as shown under. connect_supply_net vdd_0d99_n -ports VDD_0d99 162

4 connect_supply_net vdd_0d81_n -ports VDD_0d81 connect_supply_netvss_n -ports VSS E. Connecting supply nets to power domains In the end the deliver nets need to connect with a particular power domain. set_domain_supply_net PD_TOP \ -primary_power_net VDD_0d99 \ -primary_ground_netvss_n set_domain_supply_net PD_TOP \ -primary_power_net VDD_0d81 \ -primary_ground_netvss_n F. Creating power switches The power switches are just like the breakers that energy the rooms with the lights and retailers. create_power_switch cluster0_sw \ -output_supply_port \ {vout_pcluster_n} \ -input_supply_port \ {vin_p vdd_0d99_n} \ -control_port {cntrl_pcluster_sw_cntl} \ -on_state {on_statevin_p {cntrl_p}} \ -off_state {off_state {!cntrl_p}} G. Isolation once the cores are switched off, their outputs will now not be driven any more which motive troubles with X s propagating into other blocks. To restoration this hassle, isolation cells are added to the design using the instructions under inside the UPF file. persevering with with the analogy, we may want to think about doors in a room as Isolation, as they prevent darkness from moving into any other room while closed. set_isolationarith_iso -domain pd_cluster0 \ -isolation_power_net vdd_0d99_n \ -isolation_ground_netvss_n \ -clamp_value 1 \ -applies_to outputs \ -elements {CLUSTER0} set_isolation_control cluster0_iso -domain pd_cluster0 \ -isolation_signal cluster0_iso_en \ -isolation_sense high \ -location parent -domain PD_cluster0 \ -applies_to outputs \ -location parent I. Retention registers Retention registers are used to preserve the state of the circuit from before power-down to after the power domain is powered up. Any memory element that is not initialized/reset after a power-on, and does not retain its previous state, will have an unpredictable initial value. These unpredictable initial values are easily represented in the simulation as X as opposed to 0 or 1. However, in emulation, they must be randomly represented as 0 or 1 at each different run of the test. set_retention cluster0_ret \ -domain PD_cluster0 \ -retention_power_net vdd_0d99_n \ -retention_ground_netvss_n set_retention_control cluster0_ret \ -domain PD_cluster0 \ -save_signal {save_cluster0_out high} \ -restore_signal {restore_cluster0_out low} H. Creating Level Shifters The level shifters are used to vary the voltage ranges to the various devices within the house. There might be a few gadgets that want as 120V deliver even as others run at 220V. We did no longer need to use stage shifters in this assignment, because the requirement turned into most effective to test that the diverse domains will be powered up and down. set_level_shifter cluster0_cluster1_in -domain PD_cluster0 \ -applies_to inputs \ -location self set_level_shifter cluster0_cluster1_out \ Fig.7. Structure of UPF J. Power state domains As shown in Fig.7. the SoC became divided into three power domain names (Cluster 0, Cluster 1 and the rest of the SOC). The requirement become that Cluster 0 and 1 might be completely powered ON or OFF, whilst the SoC area will stay powered up whilst 163

5 both cluster is powered down and all manipulate registers reside inside the SoC domain. A specific collection of events desires to be accompanied at the same time as powering down or powering up a middle, which was furnished by way of the center group. Table 1 suggests the various power domain states for all of the cores. The most interesting instances to check were whilst either cluster was powered down while the alternative cluster became used to look ahead to power down after which generate an occasion to the outside energy controller. Once the power controller noticed the occasion, it followed the vital steps to program the registers inside the SoC area to begin the power up method. We decided this turned into best achieved with the aid of growing a power aware simulation strategy. TABLE. 1. Power state modes Low power specs are wanted at each step of the design go with the flow so that accurate power control additives can be carried out on the RTL, inferred efficiently at some point of synthesis, and located-and-routed effectively and accurately within the bodily design. This requires a single power format regularly occurring by means of all equipment inside the flow at any given abstraction level. A single power design eases implementation and validation and enables meet design schedules. It should also deal with reusability, allow early and thorough validation, and feature built-in extensibility. Accellera, an corporation focused on identifying and creating new standards and methodologies for the electronic design enterprise, lately accredited a standard for low power design motive specification is referred to as the UPF. Written in Tcl, UPF captures the low power design specification in a transportable form to be used in simulation, synthesis, and routing, lowering potential emissions throughout translation of HDL description and may be read by using all of the gear in the flow, the UPF facet document is as portable and interoperable because the good judgment design s HDL code. Fig.8. side file provides a consistent semantic for all tools throughout the design flow. 164 VI. THE POWER AWARE SIMULATION FLOW Power Aware Simulation (PA Simulation) solves the hassle of practical verification of power aware designs. It offers architects the capability to functionally verify their power control strategies on the RTL, decreasing costs appreciably both in effort and time. PA Simulation works with ordinary RTL coding patterns. RTL blocks are effortlessly reused without editing the RTL code, and new reusable blocks can be made independent of the power-aware environment. The simulator is able to Pick out all sequential elements inferred by the RTL design (registers, latches, and retentions). Overlay the RTL design with the PCN. Pull in the right retention-cell version behavior. Dynamically alter the conduct of the design to reflect the required low power design intent in power down and up situations. PA Simulation is broadly categorized into 4 steps: Check in/latch popularity from the RTL pattern. Identification of power elements and their power manage alerts. Elaboration of the power aware design. Power aware Simulation.[10]. Fig.9.Quasta simulation flow with Power Aware Modeling[8] CONCLUSIONS One viable way is specifying low power motive in a general format that is portable across a huge variety of EDA equipment Accellera created a single, standard low power design referred to as the Unified Power Design(UPD). beneath UPF transforms from RTL to netlist. A design, a UPF file is generated at each degree by means of the imposing tools to mirror the modifications in logical and physical hierarchy and the interaction among diverse factors inside each energy domain, in addition to between extraordinary domains. Interoperability between implementation and verification gear, ensuring better first-class of silicon and elimination of iterations for improved productiveness. no matter the reality that a few EDA vendors have tried to push proprietary low power codec s, maximum carriers large and small alike have followed this new low power fashionable for his or her low energy gear. with the aid of adopting UPF,

6 every EDA seller has retained proprietary control of its respective silicon-established gear, at the same time as allowing the fashion designer to maintain control over her design data. In contrast to unmarriedsupplier manage over the format and related tools, UPF is a standard format this is without a doubt open and available to the clothier community for destiny improvements. Dressmaker manage over low power design purpose statistics, and the freedom to use the nice gear at her disposal in place of being tied to a single supplier, is the real measure of improved productivity. For more facts on UPF and to down load the UPF specification, please visit Accellera s website: The power aware design advent via its dependence on numerous power domains launches extra probabilities for mistakes. On every occasion this occurs, corporations are asked to set equipped the units to facilitate accurate practical Verification with identification of flaws within the specification or implementation. The logically low electricity unfastened coupling along side functional design objective, allows separate specification, together supplying the independence to characterize a concise and advanced mechanism for low power design purpose specification. This device permits better IP reuse in diverse low power structural designs. REFERENCES [1] Unified Power Format, IEEE Draft Standard for Design and Verification of Low Power Integrated Circuits, IEEE P1801/D18, 23, October, [2] Freddy Bembaron, Rudra Mukherjee, Sachin kakkar and Amit Srivastava Low Power Methodology using UPF pp [3] M.Keating etl al, Low Power Methodology Manual, Springer [4] Stephen Bailey,Gabriel Chidolue,Allan Crone, of Mentor Graphics, "Low Power Design and Verification Techniques", white paper. [5] Accellera, "Accellera: Unified Power Format (UPF) 1.0 Standard,"pp , February 2009 [6] VLSI-Design.html [7] Michael Keating, David Flynn, Robert Aitken, Alan Gibbons, Kaijian Shi Low Power Methodology Manual For System-on- Chip Design, Springer, [8] Croft, M. ; Bailey, S," Is Your Low Power Design Switched On? ".International Symposium on System-on- Chip, 2007 pp: 1-4 [9] Rudra Mukerjee, Amit Srivastava and Stephen Bailey,"Static and Formal Verification of Power Aware Designs at the RTL Using UPF", Mentor Graphic white paper. [10] Stephen Bailey, Gabriel Chidolue,"Advanced verification of low power design", Mentor Graphics white paper [11] F. Bembaron, S. Kakkar, R. Mukherjee, and A. Srivastava, "Low Power Verification Methodology Using UPF," in Conference on Electronic Systems Design and Verification Solutions, DVCON,2009, pp [12] R. Lissel and J. Gerlach, "Introducing new verification methods into a company's design flow: an industrial user's point of view," in Design,Automation & Test in Europe, Conference & Exhibition DATE'07. [13] IEEE, April 2007, pp [13] H. Jian and S. Xubang, "The Design Methodology and Practice of Low Power SoC," in Embedded Software and Systems Symposia,2008. ICESS Symposia'08. International Conference on, 2008, pp [14] Kapoor, Bhanu ; Hemmady, S. ; Verma, S. ; Roy, K. ; D'Abreu, M.A.," Impact of SoC power management techniques on verification and testing"quality of Electronic Design, ISQED pp [15] Trummer, C. ; Kirchsteiger, C.M. ; Steger, C. ; Weiß, R. ; Pistauer, M.Dalton, D. Automated simulation-based verification of power requirements for Systems-on-Chips,IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), 2010 pp 8-11 [16] Mehta, S.,"Industry Standards from Accellera "21st International Conference on VLSI Design, VLSID 2008,pp 728 [17] Hazra, A. ; Mitra, S. ; Dasgupta, P. ; Pal, A. ; Bagchi, Debabrata; Guha, K.," Leveraging UPF-extracted assertions for modeling And formal verification of architectural power intent ",47 th ACM/IEEE Design Automation Conference (DAC), 2010,pp

Verifying a low power design

Verifying a low power design Verifying a low power design Asif Jafri Verilab Inc. Austin, USA www.verilab.com ABSTRACT User expectations of mobile devices drive an endless race for improvements in both performance and battery life.

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

Low Power Methodology Manual For System-on-Chip Design

Low Power Methodology Manual For System-on-Chip Design Low Power Methodology Manual For System-on-Chip Design Michael Keating David Flynn Robert Aitken Alan Gibbons Kaijian Shi Low Power Methodology Manual For System-on-Chip Design Michael Keating Synopsys,

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Challenges with Power Aware Simulation and Verification Methodologies

Challenges with Power Aware Simulation and Verification Methodologies Challenges with Power Aware Simulation and Verification Methodologies Divyeshkumar Vora Staff Design Engineer Accellera Systems Initiative 1 Agenda Introduction Power-aware (PA) simulation overview Integrated

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF Failing to Fail: Achieving Success in Advanced Low Power Design using UPF 1 Rick Koster, 2 John Redmond, and 3 Shreedhar Ramachandra 1 Mentor Graphics Corporation 2 Broadcom Corporation 3 Synopsys Inc.

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification PURPOSE: To use Formality and its formal techniques to prove or disprove the functional equivalence of two designs. Formality can be used

More information

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Refining Successive Refinement Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Agenda Successive refinement flow Overview Successive refinement Challenges in Complex

More information

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Multi-Domain Verification: When Clock, Power and Reset Domains Collide Multi-Domain Verification: When Clock, Power and Reset Domains Collide Ping Yeung, Erich Marschner Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

Accelerating CDC Verification Closure on Gate-Level Designs

Accelerating CDC Verification Closure on Gate-Level Designs Accelerating CDC Verification Closure on Gate-Level Designs Anwesha Choudhury, Ashish Hari anwesha_choudhary@mentor.com, ashish_hari@mentor.com Design Verification Technologies Mentor Graphics Abstract:

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Power Format Comparison Report out

Power Format Comparison Report out Power Format Comparison Report out Gary Delp Ranen Fraer David Hui Herve Menager Nick English Dave Allen David Hathaway Gila Kamhi Oscar Siguenza Judith Richardson Dirk Siemer John Biggs Purpose and Agenda

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER

RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER V. Baskar 1 and K.V. Karthikeyan 2 1 VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics and Communication Engineering,

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Power Aware Models: Overcoming barriers in Power Aware Simulation

Power Aware Models: Overcoming barriers in Power Aware Simulation Power Aware Models: Overcoming barriers in Power Aware Simulation Mohit Jain, STMicroelectronics (mohit-jain.crd@st.com) Amit Singh, STMicroelectronics (amit-ftm.singh@st.com) J.S.S.S.Bharath, STMicroelectronics

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

Jump-Start Software-Driven Hardware Verification with a Verification Framework

Jump-Start Software-Driven Hardware Verification with a Verification Framework Jump-Start Software-Driven Hardware Verification with a Verification Framework Matthew Ballance Mentor Graphics 8005 SW Boeckman Rd Wilsonville, OR 97070 Abstract- Software-driven hardware verification

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Low Power Emulation for Power Intensive Designs

Low Power Emulation for Power Intensive Designs Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1 Agenda Introduction Power Verification - Simulation Power Verification

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Power Optimization in FPGA Designs

Power Optimization in FPGA Designs Mouzam Khan Altera Corporation mkhan@altera.com ABSTRACT IC designers today are facing continuous challenges in balancing design performance and power consumption. This task is becoming more critical as

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

PA GLS: The Power Aware Gate-level Simulation

PA GLS: The Power Aware Gate-level Simulation PA GLS: The Power Aware Gate-level Simulation by Progyna Khondkar Mentor, A Siemens Business In post-synthesis, gate-level netlist (GL-netlist), power aware (PA) simulation, the fundamental focus is to

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Low Power System-on-Chip Design Chapters 3-4

Low Power System-on-Chip Design Chapters 3-4 1 Low Power System-on-Chip Design Chapters 3-4 Tomasz Patyk 2 Chapter 3: Multi-Voltage Design Challenges in Multi-Voltage Designs Voltage Scaling Interfaces Timing Issues in Multi-Voltage Designs Power

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Cypress Adopts Questa Formal Apps to Create Pristine IP

Cypress Adopts Questa Formal Apps to Create Pristine IP Cypress Adopts Questa Formal Apps to Create Pristine IP DAVID CRUTCHFIELD, SENIOR PRINCIPLE CAD ENGINEER, CYPRESS SEMICONDUCTOR Because it is time consuming and difficult to exhaustively verify our IP

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs by Progyna Khondkar Mentor, A Siemens Business INTRODUCTION PA-Static verification, more popularly

More information

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. The evolution of smart phones and tablet has ever driven the

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

Plugging the Holes: SystemC and VHDL Functional Coverage Methodology

Plugging the Holes: SystemC and VHDL Functional Coverage Methodology Plugging the Holes: SystemC and VHDL Functional Coverage Methodology Pankaj Singh Infineon Technologies Pankaj.Singh@infineon.com Gaurav Kumar Verma Mentor Graphics Gaurav-Kumar_Verma@mentor.com ABSTRACT

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Real-life low power verification pitfalls, and UPF 1801 for a CPF user Real-life low power verification pitfalls, and UPF 1801 for a CPF user Paul Bailey STMicroelectronics UPD-DSMG R&D DVclub 1 st July 2013 Version 1.0 No part to be reproduced without permission from STMicroelectronics

More information

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI CMPE 655- MULTIPLE PROCESSOR SYSTEMS OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI What is MULTI PROCESSING?? Multiprocessing is the coordinated processing

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Requirements and Design Overview

Requirements and Design Overview Requirements and Design Overview Robert B. France Colorado State University Robert B. France O-1 Why do we model? Enhance understanding and communication Provide structure for problem solving Furnish abstractions

More information

Frequency and Voltage Scaling Design. Ruixing Yang

Frequency and Voltage Scaling Design. Ruixing Yang Frequency and Voltage Scaling Design Ruixing Yang 04.12.2008 Outline Dynamic Power and Energy Voltage Scaling Approaches Dynamic Voltage and Frequency Scaling (DVFS) CPU subsystem issues Adaptive Voltages

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information