Power Format Comparison Report out

Size: px
Start display at page:

Download "Power Format Comparison Report out"

Transcription

1 Power Format Comparison Report out Gary Delp Ranen Fraer David Hui Herve Menager Nick English Dave Allen David Hathaway Gila Kamhi Oscar Siguenza Judith Richardson Dirk Siemer John Biggs

2 Purpose and Agenda Analyze in preparation for merging: Agenda CPF & UPF Key Messages Process Followed Use Cases to be supported Base similarities Discerned differences Questions Use case support Next Steps Reference Materials User Comparison work group 2

3 Key Messages The two specifications describe very similar structures Both specifications provide excellent basis for work Both contain important capabilities not present in the other, e.g. Crisp simulation semantics UPF Rule based identification - CPF Some of the Use Cases need capabilities not contained in either Extension of retention model Defined IP reuse model hierarchy of Power domains / modes/ states Crisp always on This work is not yet complete, it requires some refinement We are here to be asking experts in capabilities User Comparison work group 3

4 Process Followed The analysis and comparison has taken four parallel tracks: Comparing data model Comparing command capabilities Group commands by function Comparing expression Group commands & arguments by action Use Case analysis Support for desired tasks in the design flow User Comparison work group 4

5 Use Cases to be supported and implications Roles/Tasks Design reuse is key Questions center around the separation of the information for: identification, implementation, verification, analysis, and This split allows an IP provider to specify the "what" in the source of the IP with out having to predicate the "how The Identification task requires: Declaring the "atomic" power domains that could be used these can be merged but not split during implementation Declaring the state needs to be retained during shutdown but not prescribing how retention is controlled Declaring the signals that need clamping high/low but not prescribing how isolation is controlled Declaring the legal power states and sequencing with out prescribing absolute voltages User Comparison work group 5

6 Roles in design process IP Providers Block and subsystem provider - identification of feature points Library Provider identification of capabilities provided by cells System Functional designer changes RTL Design Power Architect does not change RTL Methodologist may provide general design rules or checks This drives or checks implementation Verification Validates intent and transformations Power analysis must produce accurate and consistent results between tools. User Comparison work group 6

7 High level examples IP provider creates soft processor with retention locations specified but no strategy defined How do they verify it How is it used Sequencing of switches and relation to retention strategy Additional examples User Comparison work group 7

8 Base similarities Data Model Operate on the Design Naming is in the Design Name space Hierarchy of Always on unclear Both moving toward separation of Identification and implementation Both include power states or modes User Comparison work group 8

9 Power management Structures Power Domain The collection of design objects that share common power attributes Power Supply Relations & Connections between Domains Level shifters Isolation logic [ Gas Stations always on] Power States or modes Controlled by Switches May require Retention Associate with models and corners May require sequencing Affects simulation Structures support tasks of: Identifying elements Managing Implementing Analyzing Reusing + - User Comparison work group 9

10 Power Management Source & Flow Power source files are part of the design source. Combined with the RTL, the power files are used to describe the intent of the designer. This collection of source files is the input to several tools, e.g., simulation tools, synthesis tools, and formal verification tools. Synthesis tools can read the RTL/power design input files and produce a netlist. The tool or the user may produce a new power fileset which, combined with the netlist, represents a further refined version of same design. Also, the power file that is used as input may be reused without change at later stages in the tool flow, or alternate power files may be prepared. In those cases where names change beyond the capability of name mapping to follow, power files with the new names may be needed. A power-aware logical equivalence checker can read the full design filesets and perform the checks including the results of the power commands to ensure equivalence. Place and Route tools read both the netlist and the power files and produce outputs, potentially including output power files Power Source File(s) HDL/ RTL Synthesis Power Source Verilog File(s) (Netlist) P&R Power Source File(s) Verilog (Netlist) Simulation, Logical Equivalence Checking, User Comparison work group 10

11 Design Model

12 User Comparison work group 12

13 Power and Ground Connectivity supply net characterization Power net reuse hierarchy vs flat User Comparison work group 13

14 Power Management constructs Switches Retention How does complex retention get represented. Isolation combination of isolation and level shifting. High to low, low to high, split grounds (type may be more complex than UPF can encompass) Level shifting "Gas Stations" User Comparison work group 14

15 Discerned differences Element selection capability Named groups and rules - CPF Scoping assumptions needs more examination Simulation/modeling mapping Simulation and implementations both need specification Semantic rules included in specification CPF Identify power logic Specification of logic in power source file SAIF spec included in UPF Introductory material included in UPF User Comparison work group 15

16 COM EG: Port Creation for a Hierarchical Flow top_chip Default (always on) IPInst DRAM Standby (virtual domain) (on/off) IPMod DRAM TAGRAM shutoff there is no power down control port shutoff at RTL Isolation logic inserted at full chip level based on IP CPF information standby PD1 (on/off) IPmod.cpf set_design IPMod ports {shutoff} create_power_domain \ -name Standby \ -boundary_ports {DRAM[0:15] TAGRAM[0:15]} \ -instances DRAM -shutoff_condition {shutoff} end_design FullChip.cpf set_design top_chip create_power_domain \ name PD1 \ instance create_power_domain name Default default set_instance IPInst \ port_mapping { {shutoff standby} } source IPmod.cpf create_isolation_rule \ -from IPInst/Standby \ isolation_condition standby \ end_design User Comparison work group 16

17 COM EG: Module Based CPF alu.cpf set_design alu create_state_retention_rule -name srpg \ -instances z* end_design FullChip.cpf set_design top_chip both are instantiated from module alu FFs z* in alu_inst/aui will be mapped to state-retention flops FFs z* in alu_inst/lui will not be mapped to state-retention flops create_power_domain -name PDau \ -instances alu_inst/aui \ -shutoff_condition {pcu_inst/pso[0]} \ default_restore_edge {pcu_inst/pg1} create_power_domain -name PDlu \ -instances alu_inst/lui \ -shutoff_condition {pcu_inst/pso[1]} set_instance alu_inst/aui source alu.cpf set_instance alu_inst/lui source alu.cpf end_design User Comparison work group 17

18 COM EG: Handle Feed-Through Net top I1 I2 PD1: always on, default W1 inv1 inv2 I3 I5 I4 PD2: switchable W2 inv3 pse I6 PD3: always on identify_always_on_driver pins { I6/pse } User Comparison work group 18

19 logic independence vs. boolean expression capability using boolean expressions for power shutoff rules is very very convenient Using this for "What if" exploration during early work This is not an arbitrary HLL function, but just a boolean function of pins UPF specifiys the system Think of this as an assertion check in the design intent The signals required to implement a particular power control intent may differ between implementations, therefore a single signal choice to be used over all stages or alternatives of implementation may not be available. User Comparison work group 19

20 Structural comparison Precedence Create vs update User Comparison work group 20

21 Precedence To support concise, easily written low power specifications, UPF supports default and generic application of low power design intent. Consequently, multiple low power design intent specifications may conflict with each other. This section defines the rules for resolving conflict in many situations. 1. Logic definition has the highest precedence. UPF does not modify the functional behavior specified in the HDL code. A UPF specification extends the logic definition to incorporate power-aware behavior. The HDL logic specification defines a set of legal implementations. Therefore, the logic definition has the highest precedence. 2. UPF power-aware specification within HDL code has the next highest precedence. To the extent power-aware information can be specified in HDL code, that HDL-resident power-aware specification has precedence over any power-aware information specified outside that code. 3. Inherited power-aware attributes have the lowest precedence. A power-aware intent specification can apply to an object when it is specified directly on the object or an ancestor of the object. (The command definitions specify when a command creates an inheritable attribute.) When more than one power-aware intent specification exists for a given object: 1. If a power-aware intent specification was applied directly to the object, then that specification applies. It is applied directly to the object when the object is referenced in the command explicitly, including through regular expressions that expand to what would otherwise have been an explicit reference to the object. 2. If the power-aware intent specification was applied to an ancestor of the object, then the specification applied at the closest ancestor applies. This includes references to ancestors from the expansion of regular expressions. Precedence ambiguity It is an error if the precedence rules fail to uniquely identify a single power-aware attribute that applies to an object. The closest ancestor with power-aware intent is determined by starting at the object and then tracing back its instance lineage up to the top of the design. The first ancestor encountered in this hierarchical trace back is the closest ancestor. User Comparison work group 21

22 Error semantics Purpose CPF UPF User Comparison work group 22

23 logic independence vs. boolean expression capability Second main concern is having logic in the "side file" that is not in the HDL If the model is similar to test insertion, then XXX User Comparison work group 23

24 Questions Use case support User Comparison work group 24

25 Identification of elements is there a power domain X which has an output Y connected to power domain Z such that the voltage difference is greater than Q. potential for a roadmap capability wildcards name based references between representations of the design referencing collections - making names for collections If the designer during refinement adds, for example, a switch, is there a directive that allows the identification of a complex block or cell that implements the high level description. XXX User Comparison work group 25

26 Multi-Domain Issues I have a net that goes from one to many domains, which domain is the net associated with? If a cell has multiple supplies how are the simulation semantics expressed. Interaction between isolation and level shifting how is order specified? User Comparison work group 26

27 Questions for the Workshop General mapping from high level intent to implementation, not just signals names, guide implementation, how is the element identetified in the implementation to.xxx - Switching strategy Retention specifications - what if the implememtna strategy does not require save and restore. Switching strategy that may require more signals Sequencing requirements - how are they specified. if this does not "belong" in the power format, then how does the implementation "identify itself" to the power specification. User Comparison work group 27

28 Questions for the Workshop Relationship between intent and implementation (and modeling) User Comparison work group 28

29 Next Steps User Comparison work group 29

30 Thank you

31 Reference Material Object model(s) Command lists Concept list Comparison of command groups

32 CPF & UPF Power Domains Herve Menager & Judith Richardson

33 Power domains What UPF CPF Comments Creation of the power domain (create_power_domain) Allow instances and children s to be associated to PD set_pin_related_supply??? Allow instances and children s to be associated to PD CPF allow ports and pins to be associated with PD, CPF seems more powerful Because it allows interface abstraction: -From within one IP block matching a PD, specify which ios interface to a different PD outside. -From a parent level, specify which child s pins are associated to a PD -Implication for buffering, global connections, etc Creation of the power domain No concept of default domain. Instances not assigned don t have an explicit domain Default domain In CPF instances MUST be in a domain.default is the placeholder for non specifically assigned Tool behavior dependency. Talk about own experience User Comparison work group 33

34 Power domains What UPF CPF Comments Shut-off control of the Power Domain Create_power_domain has not condition / expression associated. Purely specifying which instances are in the domain. Involving Create_power_domain to specify shut-off condition Update power switch rule to change shut-off condition CPF is unclear as to why we would have to specify different shut-off between the create_power_domain and the update_power_switch_rule Create_power_switch has a control port that has to be existing explicit signal. So not supporting as a boolean expression Has a boolean expression to control shut-off Create and update in CPF seems to be doing very different things. User Comparison work group 34

35 CPF & UPF retention, isolation, level-shift Ranan Fraer & Gila Kamhi

36 Isolation CPF Commands create_isolation_rule update_isolation_rules UPF Commands set_isolation set_isolation_control map_isolation_cell define_isolation_cell map_isolation_cell User Comparison work group 36

37 Isolation Specification Criteria CPF UPF Comparison General 1) Rule Centric 1) Domain centric UPF has some redundancy, more error-prone Isolation control 1) Expression 1) Signal 2) Triggered by shut-off in 2) Sense drivers/loads 1) UPF ensures visibility of control signals in synthesis 2) CPF expression is Verilog/VHDL or language= indep.? 3) CPF need for #2 is questionable User Comparison work group 37

38 Isolation Specification (cont.) Criteria CPF UPF Comparison Isolated Elements 1) Cross- domain 2) I/O selection 3) Explicit include/ 1)Domain-centric 2) I/O selection 3) Explicit include CPF cross-domain view better supported exclude Clamp_Value H,L, Latch (Default 0) H,L, Latch, Z (Default 0) UPF allows Z (?) value User Comparison work group 38

39 Isolation Implementation Criteria CPF UPF Comparison RTL/CKT sync 1) Map to explicit cells 1) Map to explicit cells 2) Synthesis model for isolation cells 3) Combine w/ level shifting 2) Simulation/Synthesis model for isolation cells UPF supports simulation model CPF allows to combine level shifter Supply of isolation Per isolation cell CKT level Per domain/rule RT level UPF more compact Location From/To only From/To/parent/ Fanout/Automatic UPF more flexibile User Comparison work group 39

40 Level Shifter CPF Commands create_level_shifter_rule UPF Commands set_level_shifter update_level_shifter_rules map_level_shifter define_level_shifter_cell map_level_shifter User Comparison work group 40

41 Level Shifter Specification Criteria CPF UPF Comparison General 1) Rule Centric 1) Domain centric UPF has some redundancy, more error-prone Level Shifter Elements 1) Cross- domain 2) I/O selection 3) Explicit include/exclude 1) Domaincentric 2) I/O selection 3) Explicit include CPF cross-domain view better supported User Comparison work group 41

42 Level Shifter Implementation Criteria CPF UPF Comparison Voltage Threshold A level_shifter_cell definition is supported Voltage threshold when level shifter is required. Default is 0. CPF takes care of this info through level_shifter_cell definition Location From/To only From/To/parent/ UPF more flexible Fanout/Automatic Type 1) H-> L; L->H; or Both With split grounds H- >L may be unclear Control Exclude selected elements Exclude shifting of selected elements CPF more flexible User Comparison work group 42

43 Retention CPF Commands create_state_retention_rule update_state_retention_rules UPF Commands set_retention set_retention_control map_retention_cell define_retention_cell map_retention_cell User Comparison work group 43

44 Retention Specification Criteria CPF UPF Comparison General 1) Rule Centric 1) Domain centric CPF is more compact e.g., in UPF mapping which sequentials to retention cells and which elements to define as retention seq. is verbose in case it is not the same list Retention Elements 1) Domain-centric 2) Explicit include 1) Domain-centric 2) Explicit include No diff Retention Control 1) Restore/Save Expression 1) Restore/Save Signal 2) Sense 1) UPF signal restriction ensures visibility of all signals in synthesis 2) Language-Independence of syntax of CPF expressions needs to be ensured User Comparison work group 44

45 Retention Specification (cont.) Criteria CPF UPF Comparison Supply of Retention No Allows Power/GND nets specific for retention if it is different than primary supply CPF does not explicitly support but supports through define_retention_cell Assertions No explicit support Explicit support of retention related assertions that ensure that save/restore signals are not simultaneously activated User Comparison work group 45

46 Retention Implementation Criteria CPF UPF Comparison RTL/CKT sync 1) Map to explicit cells 2) Synthesis model for isolation cells 1) Map to explicit cells 2) Simulation/Synthesis model for isolation cells UPF supports simulation model 3) Supports the definition of isolation cell User Comparison work group 46

47 Command Comparison CPF Command concepts not implemented in UPF THIS IS A WORK IN PROGRESS your input requested!!! User Comparison work group 47

48 Command Comparison UPF Command concepts not implemented in CPF THIS IS A WORK IN PROGRESS your input requested!!! User Comparison work group 48

49 Backup User Comparison work group 49

50 Isolation Used to isolate signals originating in a design element whose power is shut off from a part of the design which still remains powered on and able to read those signals Through name_format command mapping to isolation cells in SCH is done Syntax : set_isolation outputs_only -domain PD1 -isolation_supply_nets VDDbackup /* can specify a single power net, a single ground net or both. If only a power net is specified, primary ground is adopted for isolation ground and if ground net is specified, primary power is adopted for isolation power */ -isolation_signal cpu_iso /* The signal that causes the specified element to drive its clamped value */ -isolation_sense low /* if isolation signal has the same value as isolation_sense, then the clamp value is driven; otherwise, non-isolated value is driven */ -clamp_value 1 /* The value to which the input or output shall be clamped */ -applies_to outputs /* determines whether domain s inputs or outputs or both are isolated */ User Comparison work group 50

51 Isolation HDL Modeling set_isolation command determines which ports are to be isolated and where in the logic hierarchy the resulting isolation cells are to be created <non_isolated>) if (<enable>== <isolation_sense>) <isolated> = <clamp_value>; else <isolated> = <non_isolated>; The isolation behavior applies only when enable signal contains a deterministic value(0 or 1) and power supply to the corresponding isolation elements in on. If power supply to the corresponding isolation elements is turned off or the enable signal is X or Z, the isolated signal is driven to X User Comparison work group 51

52 Retention Determines which registers in a power-domain need to be retention registers and sets the corresponding save and restore signals for the retention registers Through pre-build retention assertions, verification tools can trigger when the indicated RTL signals are active simultaneously with : restore signal save signal Both restore and save signal set_retention power_domains {PD_a} -save_signal save_a save_sense high -restore_signal restore_a restore_sense low -assert_rs_mutex // (save_a &&!restore_a) == 0 -assert_rs_mutex {reset_a low} // ((save_a!restore_a) &&!reset_a) == 0 -assert_s_mutex {clock_a posedge} // // save_a && (posedge clock_a) == 0 User Comparison work group 52

53 Retention: HDL Modeling Edge-triggered FF with active low cl or negedge reset) begin if (!reset) else end q < =0; q <=d; Retention MPP (UPF) command set_retention -elements {inst_a{ inst_a} -save_signal save_1 save_sense posedge restore_signal restore_1 restore_sense negedge Simulation Semantics/Modeling req save_q; //shadow register save_1) begin //save process save_q <= q; end restore_1) begin //restore process q <= save_q; end Note : The above code is not appropriate for synthesis; it simply provides simulation model for accurate save/restore modeling User Comparison work group 53

54 And More Backup User Comparison work group 54

55 Cell Battery Multiple cells (as in a flashlight) Multiple batteries (ganged for more current) Multiple batteries (ganged for more voltage) + - User Comparison work group 55

56 SPDT SP3T SPST User Comparison work group 56

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

PA GLS: The Power Aware Gate-level Simulation

PA GLS: The Power Aware Gate-level Simulation PA GLS: The Power Aware Gate-level Simulation by Progyna Khondkar Mentor, A Siemens Business In post-synthesis, gate-level netlist (GL-netlist), power aware (PA) simulation, the fundamental focus is to

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

New Advancements in CPF 2.0 and the Path to Interoperability

New Advancements in CPF 2.0 and the Path to Interoperability New Advancements in CPF 2.0 and the Path to Interoperability Qi Wang Chair of Format Working Group Vice Chair of Low Power Coalition October 2011 Innovation Through Collaboration Agenda 2011 Overview CPF

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

Common Power Format. CPF Pocket Guide

Common Power Format. CPF Pocket Guide Common Power Format CPF Pocket Guide What is CPF? CPF (Common Power Format) is a new design specification language that addresses the limitation in traditional design automation tool flows by capturing

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

Verifying a low power design

Verifying a low power design Verifying a low power design Asif Jafri Verilab Inc. Austin, USA www.verilab.com ABSTRACT User expectations of mobile devices drive an endless race for improvements in both performance and battery life.

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF Failing to Fail: Achieving Success in Advanced Low Power Design using UPF 1 Rick Koster, 2 John Redmond, and 3 Shreedhar Ramachandra 1 Mentor Graphics Corporation 2 Broadcom Corporation 3 Synopsys Inc.

More information

Subject: Scheduling Region Questions and Problems of new SystemVerilog commands

Subject: Scheduling Region Questions and Problems of new SystemVerilog commands Subject: Scheduling Region Questions and Problems of new SystemVerilog commands I have read and re-read sections 14-17 of the SystemVerilog 3.1 Standard multiple times and am still confused about exactly

More information

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Refining Successive Refinement Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Agenda Successive refinement flow Overview Successive refinement Challenges in Complex

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Abhinav Nawal (Freescale) Gaurav Jain (Freescale) Joachim Geishauser (Freescale) Accellera Systems Initiative 1 SCOPE Introduction

More information

Challenges with Power Aware Simulation and Verification Methodologies

Challenges with Power Aware Simulation and Verification Methodologies Challenges with Power Aware Simulation and Verification Methodologies Divyeshkumar Vora Staff Design Engineer Accellera Systems Initiative 1 Agenda Introduction Power-aware (PA) simulation overview Integrated

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

Welcome to the DVCon 2015 issue of Verification Horizons By Tom Fitzpatrick, Editor and Verification Technologist

Welcome to the DVCon 2015 issue of Verification Horizons By Tom Fitzpatrick, Editor and Verification Technologist A PUBLICATION OF MENTOR GRAPHICS VOLUME 11, ISSUE 1 FEBRUARY 2015 WHAT S ON THE HORIZON? Does Design Size Influence First Silicon Success Results from the 2014 Wilson Research Group Functional Verification

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

SystemVerilog Essentials Simulation & Synthesis

SystemVerilog Essentials Simulation & Synthesis SystemVerilog Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using SystemVerilog standard

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Real-life low power verification pitfalls, and UPF 1801 for a CPF user Real-life low power verification pitfalls, and UPF 1801 for a CPF user Paul Bailey STMicroelectronics UPD-DSMG R&D DVclub 1 st July 2013 Version 1.0 No part to be reproduced without permission from STMicroelectronics

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD Edition 1.0 2015-03 IEEE Std 1801-2013 Design and Verification of Low-Power Integrated Circuits :2015-03(en) THIS PUBLICATION IS COPYRIGHT PROTECTED Copyright 2013 IEEE All rights

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation by Progyna Khondkar, Mentor Graphics INTRODUCTION The Questa Power Aware (PA) dynamic simulator (PA-SIM) provides a wide range of automated

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

NOTES ON OBJECT-ORIENTED MODELING AND DESIGN

NOTES ON OBJECT-ORIENTED MODELING AND DESIGN NOTES ON OBJECT-ORIENTED MODELING AND DESIGN Stephen W. Clyde Brigham Young University Provo, UT 86402 Abstract: A review of the Object Modeling Technique (OMT) is presented. OMT is an object-oriented

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Verilog Execution Semantics

Verilog Execution Semantics System Verilog (SV) is a parallel, hardware description language. SV differs from procedural languages such as C in that it models concurrency in digital logic. Logic gates operate in parallel, but software

More information

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs by Progyna Khondkar Mentor, A Siemens Business INTRODUCTION PA-Static verification, more popularly

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Robert Meyer Medtronic, Inc. 8200 Coral Sea Street NE MS MVC61 Mounds View, MN 55112 robert.j.meyer@medtronic.com

More information

Low Power Emulation for Power Intensive Designs

Low Power Emulation for Power Intensive Designs Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1 Agenda Introduction Power Verification - Simulation Power Verification

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Enhanced Class Design -- Introduction

Enhanced Class Design -- Introduction Enhanced Class Design -- Introduction We now examine several features of class design and organization that can improve reusability and system elegance Chapter 9 focuses on: abstract classes formal Java

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran CAD for VLSI Design - I Lecture 21 V. Kamakoti and Shankar Balachandran Overview of this Lecture Understanding the process of Logic synthesis Logic Synthesis of HDL constructs Logic Synthesis What is this?

More information

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Dynamic Verification of Low Power Design Intent Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Introduction Customer driven project Verification of Subsystem which includes:

More information

13. LogicLock Design Methodology

13. LogicLock Design Methodology 13. LogicLock Design Methodology QII52009-7.0.0 Introduction f Available exclusively in the Altera Quartus II software, the LogicLock feature enables you to design, optimize, and lock down your design

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

Verilog for Synthesis Ing. Pullini Antonio

Verilog for Synthesis Ing. Pullini Antonio Verilog for Synthesis Ing. Pullini Antonio antonio.pullini@epfl.ch Outline Introduction to Verilog HDL Describing combinational logic Inference of basic combinational blocks Describing sequential circuits

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

A Tutorial Introduction 1

A Tutorial Introduction 1 Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction 1 Getting Started A Structural Description Simulating the binarytoeseg Driver Creating Ports For the Module

More information

Power Aware Models: Overcoming barriers in Power Aware Simulation

Power Aware Models: Overcoming barriers in Power Aware Simulation Power Aware Models: Overcoming barriers in Power Aware Simulation Mohit Jain, STMicroelectronics (mohit-jain.crd@st.com) Amit Singh, STMicroelectronics (amit-ftm.singh@st.com) J.S.S.S.Bharath, STMicroelectronics

More information

Verilog Coding Guideline

Verilog Coding Guideline Verilog Coding Guideline Digital Circuit Lab TA: Po-Chen Wu Outline Introduction to Verilog HDL Verilog Syntax Combinational and Sequential Logics Module Hierarchy Write Your Design Finite State Machine

More information

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

VHDL simulation and synthesis

VHDL simulation and synthesis VHDL simulation and synthesis How we treat VHDL in this course You will not become an expert in VHDL after taking this course The goal is that you should learn how VHDL can be used for simulation and synthesis

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Abhinav Nawal, Freescale Semiconductors India Pvt Ltd, abhinav.nawal@freescale.com Gaurav Jain, Freescale Semiconductors India

More information

OpenVera Assertions. March Synopsys, Inc.

OpenVera Assertions. March Synopsys, Inc. OpenVera Assertions March 2003 2003 Synopsys, Inc. Introduction The amount of time and manpower that is invested in finding and removing bugs is growing faster than the investment in creating the design.

More information

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class Verilog & SystemVerilog Training Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff Cummings

More information

Formal Technology in the Post Silicon lab

Formal Technology in the Post Silicon lab Formal Technology in the Post Silicon lab Real-Life Application Examples Haifa Verification Conference Jamil R. Mazzawi Lawrence Loh Jasper Design Automation Focus of This Presentation Finding bugs in

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

High-Level Information Interface

High-Level Information Interface High-Level Information Interface Deliverable Report: SRC task 1875.001 - Jan 31, 2011 Task Title: Exploiting Synergy of Synthesis and Verification Task Leaders: Robert K. Brayton and Alan Mishchenko Univ.

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Common Power Format. CPF 1.1 Pocket Guide

Common Power Format. CPF 1.1 Pocket Guide Common Power Format CPF 1.1 Pocket Guide What is CPF? CPF (Common Power Format) is a design specification language that addresses the limitation in traditional design automation tool flows by capturing

More information

Synthesizable Watchdog Logic: A Key Coding Strategy for Managing Complex Designs

Synthesizable Watchdog Logic: A Key Coding Strategy for Managing Complex Designs System, Inc 1 Synthesizable Watchdog Logic: A Key Coding Strategy for Managing Complex Designs Wilson Snyder. 1 The Fundamental Design Issue 2 Even with the oddities of Verilog the actual writing of HDL

More information

Quick Introduction to SystemVerilog: Sequental Logic

Quick Introduction to SystemVerilog: Sequental Logic ! Quick Introduction to SystemVerilog: Sequental Logic Lecture L3 8-545 Advanced Digital Design ECE Department Many elements Don Thomas, 24, used with permission with credit to G. Larson Today Quick synopsis

More information

FPGA Design Flow 1. All About FPGA

FPGA Design Flow 1. All About FPGA FPGA Design Flow 1 In this part of tutorial we are going to have a short intro on FPGA design flow. A simplified version of FPGA design flow is given in the flowing diagram. FPGA Design Flow 2 FPGA_Design_FLOW

More information

Writing Circuit Descriptions 8

Writing Circuit Descriptions 8 8 Writing Circuit Descriptions 8 You can write many logically equivalent descriptions in Verilog to describe a circuit design. However, some descriptions are more efficient than others in terms of the

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements EECE-4740/5740 Advanced VHDL and FPGA Design Lecture 3 Concurrent and sequential statements Cristinel Ababei Marquette University Department of Electrical and Computer Engineering Overview Components hierarchy

More information

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1 EE201L and EE560 Verilog Lecture by Gandhi Puvvada, USC always statements, t t Coding a Flip-Flop Counters, Basics of Data Path, blocking and non-blocking assignments Copyright 2008 Gandhi Puvvada 1 always

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. 1 In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. I will also introduce the idea of a testbench as part of a design specification.

More information

Low Power Methodology Manual For System-on-Chip Design

Low Power Methodology Manual For System-on-Chip Design Low Power Methodology Manual For System-on-Chip Design Michael Keating David Flynn Robert Aitken Alan Gibbons Kaijian Shi Low Power Methodology Manual For System-on-Chip Design Michael Keating Synopsys,

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing async circuit 4. Inference of basic memory elements 5. Simple

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date: Synthesizable Coding of Verilog Lecturer: Date: 2009.03.18 ACCESS IC LAB Outline Basic concepts of logic synthesis Synthesizable Verilog coding subset Verilog coding practices Coding for readability Coding

More information

SUMMARY: MODEL DRIVEN SECURITY

SUMMARY: MODEL DRIVEN SECURITY SUMMARY: MODEL DRIVEN SECURITY JAN-FILIP ZAGALAK, JZAGALAK@STUDENT.ETHZ.CH Model Driven Security: From UML Models to Access Control Infrastructres David Basin, Juergen Doser, ETH Zuerich Torsten lodderstedt,

More information

Power Aware Libraries: Standardization and Requirements for Questa Power Aware

Power Aware Libraries: Standardization and Requirements for Questa Power Aware Power Aware Libraries: Standardization and Requirements for Questa Power Aware by Progyna Khondkar, Mentor Graphics INTRODUCTION Multivoltage (MV) based power-ware (PA) design verification and implementation

More information

ECE U530 Digital Hardware Synthesis. Programming Assignments

ECE U530 Digital Hardware Synthesis. Programming Assignments ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu Sept 11, 2006 Lecture 2: CAD TOOLS: Xilinx and Modelsim Levels of Design VHDL Introduction ECE U530 F06 Programming Assignments All

More information

SystemVerilog For Design Second Edition

SystemVerilog For Design Second Edition SystemVerilog For Design Second Edition A Guide to Using SystemVerilog for Hardware Design and Modeling by Stuart Sutherland Simon Davidmann Peter Flake Foreword by Phil Moorby 4y Spri ringer Table of

More information

Introduction To HDL. Verilog HDL. Debdeep Mukhopadhyay Dept of CSE, IIT Madras 1

Introduction To HDL. Verilog HDL. Debdeep Mukhopadhyay Dept of CSE, IIT Madras 1 Introduction To HDL Verilog HDL Debdeep Mukhopadhyay debdeep@cse.iitm.ernet.in Dept of CSE, IIT Madras 1 How it started! Gateway Design Automation Cadence purchased Gateway in 1989. Verilog was placed

More information

HDL-Based Design. Eduardo Sanchez EPFL. Introduction

HDL-Based Design. Eduardo Sanchez EPFL. Introduction HDL-Based Design Eduardo Sanchez EPFL Introduction As designs grew in size and complexity, schematic-based design began to run out of steam In addition to the fact that capturing a large design at the

More information

קורס SystemVerilog Essentials Simulation & Synthesis.. SystemVerilog

קורס SystemVerilog Essentials Simulation & Synthesis.. SystemVerilog קורס SystemVerilog Essentials Simulation & Synthesis תיאור הקורס קורסזהמספקאתכלהידעהתיאורטי והמעשילתכנוןרכיביםמתכנתיםבעזרתשפתהסטנדרט. SystemVerilog הקורס מעמיק מאוד ונוגע בכל אספקט של הסטנדרט במסגרת הנושאים

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

Unleashing the Full Power of UPF Power States

Unleashing the Full Power of UPF Power States Unleashing the Full Power of UPF Power States Erich Marschner Mentor Graphics Corporation 3919 River Walk Ellicott City, MD 21042 USA erich_marschner@mentor.com John Biggs ARM Ltd. 110 Fulbourn Road Cambridge

More information

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc 2/29/2016 Frederic Doucet, Qualcomm Atheros, Inc 2 What to Standardize Next Benefit of current standard: Provides

More information

Low-power Design with the New IEEE Standard

Low-power Design with the New IEEE Standard Low-power Design with the New IEEE 1801-2013 Standard Workshop #4: presented by members of the IEEE P1801 WG John Biggs Jeffrey Lee Erich Marschner Sushma Honnavara-Prasad Qi Wang Agenda Introduction John

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Register Transfer Level in Verilog: Part I

Register Transfer Level in Verilog: Part I Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part I Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL EE 459/500 HDL Based Digital Design with Programmable Logic Lecture 4 Introduction to VHDL Read before class: Chapter 2 from textbook (first part) Outline VHDL Overview VHDL Characteristics and Concepts

More information