ARTEMIS Technology Conference

Size: px
Start display at page:

Download "ARTEMIS Technology Conference"

Transcription

1 ARTEMIS Technology Conference Agenda & Abstracts Organized by:, INDEXYS, CESAR, SYSMODEL project partners Hosted by: AITIA & BME

2 June 29 th 08:45-09:00 Opening of the ARTEMIS Technology Conference 09:00-12:30 Session 1/a - Room IB026 Session 1/b - Room IB027 lectures I INDEXYS lectures 09:00-09:50 09:50-10:40 10:40-11:30 11:30-12:20 SPEAr: a customizable MPSoC solution for multi-processing applications Fabio Mario Scalise Experiences in a power efficient and high performance computation environment Mario Vigliar A Fast Modeling and Simulation Framework for the Design of Power/Thermal Control Strategies in Industrial Embedded Platforms Andrea Acquaviva Power reduction techniques for memory and I/O subsystems in application specific processors Jos Hulzink First Genesys Architectures Implemented in the INDEXYS Project - An Overview on The Technical Project Contents and Status Quo Andreas Eckel Developing Deterministic Networking Technology for Railway Applications Using TTEthernet Software Based End Systems Astrit Ademaj Adoption of The GENESYS Architectural Style in The Railway DomainSoftware Based End Systems Christoph Scherrer Flexray Multirouter 12:20-14:30 Informal lunch, Demonstrations, Poster presentations 14:30-17:50 Session 2/a - Room IB026 Session 2/b - Room IB027 + ARTEMIS Academy lectures INDEXYS + SYSMODEL lectures 14:30-15:20 15:20-16:10 Robust, High-throughput, Extended range Wireless Connectivity, for mobile application Saeid Azmoodeh Networking application design framework for High Speed reconfigurable networking hardware István Moldován Eric Schmidt Error-Propagation Analysis in Design Time V&V of Component Oriented Embedded Systems Gy. Csertán A Robust and Scalable CAN-based Communication Infrastructure based on the GENESYS Architecture Roman Obermaisser 16:10-17:00 17:00-17:50 Lightweight but powerful QoS solution for embedded systems Andreas Foglar Design Space Exploration and Rapid Prototyping of Low-Power Network Processors Christian Liss Semantics-Based Integration of Embedded Systems Models A. Balogh System Level Modeling Environment for Small and Medium Enterprises Sanna Määttä 18:30 - Social event at Buda Castle

3 June 30 th 09:00-12:20 Session 3/a - Room IB026 Session 3/b - Room IB027 lectures III CESAR lectures 09:00-09:50 09:50-10:40 SW simulation and performance analysis Eugenio Villar AMM technology for early performance measurements on system level Tim Kogel CESAR Approach for The Development of Safety Critical Embedded Systems Quentin Bourrouilh, Ingrid Kundner Improved Requirements Engineering for Safety Related Systems Markus Oertel 10:40-11:30 11:30-12:20 Composable, predictable processor tile for an embedded MPSoC Anca Molnos Design of programmable accelerators for multicore SoCs Gert Goossens A Multi-Views Approach for a Component- Based Architecture Design Eric Armengaud The CESAR Reference Technology Platform Tom Ritter 12:20-14:30 Informal lunch, Demonstrations, Poster presentations 14:30-16:10 Session 4/a - Room IB026 Session 4/b - Room IB027 lectures IV lectures IV 14:30-15:20 The SCMP architecture Nicolas Ventroux Adaptive Runtime Resource Management for Devices with Heterogeneous Processing Elements Zhe Ma Geert Nuyttens 15:20-16:10 Early evaluation and reliability assessment of Multiprocessor Systems on Chip platforms and applications: issues and novel approaches Francesco Bruschi Power saving in LCD panels Hans van Mourik 16:10-16:30 Summary session

4 Lecture Abstracts SW Simulation and Performance Analysis (Eugenio Villar, University of Cantabria, Spain) Current electronic systems are based on multi-processor, systems on chip, the last frontier of very-large scale integration technology. In these systems, most of the functionality is implemented as embedded SW running on the multiprocessing platform in close interaction with the rest of the platform resources (communication infrastructure, peripherals, application-specific HW, etc.). In this context, there is a need to develop virtual prototypes able to allow the designer to verify the correctness of the design and to analyze its performance (timing, power consumption, etc.) at the different design steps. Traditional techniques like functional modeling and simulation are fast but can hardly provide accurate performance metrics. On the other hand, ISS simulation is very accurate but too slow. Native simulation and virtualization are the new SW simulation techniques able to provide fast and accurate enough solutions. In this lecture an overview of current SW simulation and performance analysis techniques is provided. Improvements to the state of the art as a result of the Scalopes project are presented. Power Saving in LCD Panels (Hans Van Mourik, Philips Consumer Lifestyle, The Netherlands) In modern flat TV sets the major part of the power is consumed by the Liquid Crystal Display. Obviously, to save power we have to start here. There are several ways to make the LCD more efficient. Within the framework of the Scalopes project we looked at the backlight and the colour filter. For the backlight we can select fluorescent lamps or LEDs as light source and when using LEDs there are several architectures possible. Also the algorithms to control the backlight will be discussed. Then most of the light that is generated in the backlight is absorbed in the colour filter. By using "Multi Primary", using more than the traditional red, green and blue components, the colour filter can be made more efficient. Early Evaluation and Reliability Assessment of Multiprocessor System-on-Chip Platforms and Applications: Issues and Novel Approaches (Francesco Bruschi, Poliecnico di Milano, Italy) Being able to evalute functional (correctness, dependability) and non functional (performance, power consumption) features of embedded applications very early in the design cycle has always been one of the main aims of Electronic Design Automation efforts. Within the framework of this classical problem, some issues emerged recently, alongside with the diffusion of certain design and implementation technologies. Among these are the increasing number of processor cores available on a chip, the nearly ubiquitous presence of operating systems even in embedded devices and the adoption of reconfigurable hardware components. In this talk, the main challenges that a modern system level MPSoC simulator must address will be introduced and analysed. After that, some novel approaches to answer to the questions raised will be introduced, among which are operating system emulation and reflexivity in system modeling. Application of such techniques to the aims will then be described and exemplified. Adaptive, Runtime Resource Management for Devices with Heterogeneous Processing Elements (Zhe Ma, IMEC, Belgium and Geert Nuyttens, Barco, Belgium) Embedded software developers increasingly need to take advantage of heterogeneous processors, like GPUs and DSPs, to achieve required performance with a reasonable development cost. Conventional solutions rely on design-time profiling and exploration to determine the assignment of software tasks on processing elements. However, runtime dynamism encountered in practice can breach the design-time assumptions and hence result in suboptimal usage of the hardware resources. Moreover, when commodity processors are added into the underlying hardware platform of an embedded system, it is difficult to determine a cost-effective mapping of software tasks on multiple heterogeneous processors. To tackle the challenge of fast and cost-effective task mapping on a heterogeneous platform, we propose an intelligent resource management layer between the software applications and the hardware platforms. This resource manager automatically assigns software tasks to heterogeneous processors in order to maximize the overall performance at runtime. We have developed a specific adaptive runtime resource manager for a workstation consisting of CPU and GPU. In this paper we demonstrate its effectiveness through a case study of a real-life AVC encoder on the workstation. we will show that (1) an optimal task assignment depends on the runtime scenarios and hence is hard to define statically for a complex system; (2) by integrating this runtime resource manager with the encoder, we always provide task assignments close to the optimal ones.

5 The SCMP Architecture (Nicolas Ventroux, CEA-LIST, France) The emergence of new embedded applications for telecom, communication infrastructure, digital television or multimedia applications, has fueled the demand for architectures with higher performances, more chip area and power efficiency. These applications are usually computation-intensive, which prevents them from being executed by general-purpose processors. Another very important feature of future embedded computation-intensive applications is the dynamism. Algorithms become highly data-dependent and their execution time depends on their input data, since decision processes and the whole application are now implemented and must be accelerated. For these reasons, a new multiprocessor architecture, named SCMP, is proposed. This lecture will present this multiprocessor platform and will focus on the work that has been done in the project. We will mainly discuss about our specific resource manager, which supports the scheduling of tasks and the energy consumption management through DVFS and DPM techniques, about its programming model and automatic parallelization tools, as well as the SESAM simulation framework, which is a complete simulation tool for MPSoC exploration. Design Space Exploration and Rapid Prototyping of Low-Power Network Processors (Christian Liss, Heinz Nixdorf Institute, Germany) ARTEMIS Academy Chip development is a complex process that is getting more and more challenging. Starting with a high-level specification various decisions have to be made to achieve a working chip design. Some of the most important decisions in respect to the system's architecture, the production process etc. can be improved by design space exploration, i.e., the process of finding optimal designs in respect to resources like energy consumption, die area, and application-specific performance metrics. This lecture presents two important parts of the chip development process, which are design space exploration approaches and rapid prototyping. Rapid prototyping is the art of quickly building working prototypes that can be tested in a real environment to check the correct behavior of the design before producing it as a chip, to reduce risk, and to optimize the design. Networking Application Design Framework for High-Speed Reconfigurable Networking Hardware (István Moldován, BME, Hungary) There is more and more applications for the reconfigurable networking hardware, they are widely used from prototyping to commercial applications. The development of new applications however is challenging, and requires both networking and hardware related expertise. In this lecture we present a framework for easy networking application development. The framework builds around a generic high-speed packet forwarding architecture, which is modular and easily extensible. The toolchain uses a simulation and hardware model library providing the basic networking functions, and also provides a methodology for creating custom applications in an easy way. Basic applications can be built using the models provided, and more complex applications with new hardware modules and harware accelerators can be created and optimized in a iterative manner. Robust, High-Throughput, Extended-Range Wireless Connectivity for Mobile Applications (Saeid Azmoodeh, ST-Ericsson, UK) One of the key functionalities of the next generation portable multimedia, surveillance systems and many other applications such as Portable Multimedia Players, Digital Photography, Gaming,..etc is Wireless Connectivity. In fact, Wireless Connectivity is becoming a basic requirement for many of the applications we will rely on in our daily lives. To meet the challenges of such applications, with respect to high throughput, extended range, maximum level of integration and long autonomy, a solution beyond the state-of-the art is required. The objective is to develop a highly advanced platform, compliant with appropriate standards, but still flexible enough to allow a high degree of re-usability in different mobile or battery operated scenarios. The core of this development is a System-On-Chip Wi-Fi device, complying with IEEE a/b/g/n standard provided, and more complex applications with new hardware modules and harware accelerators can be created and optimized in a iterative manner.

6 Composable, Predictable Processor Tile for an Embedded MPSoC (Anca Molnos, TU Delft, The Netherlands) Composability - a property identified as crucial in the consortium - ensures that the behaviour of an application, including its timing, is not affected by the absence or presence of other applications. In this work we consider an Multi-Processor Systems on Chip (MPSoC) consisting of several processor tiles, an interconnect, and several memory tiles. Such an MPSoC executes multiple applications concurrently, each of which potentially having different timing constraints (i.e. real-time or non real-time). The tasks of each application may be mapped on several processors, store their data in distributed memories, and communicate via the interconnect. Composability cannot be achieved without proper arbitration of each shared resource (i.e. processor, interconnect, memory). We use a composable interconnect, and composable memory tiles, and we present a processor tile architecture, an inter-tile communication mechanism, and an operating system able to ensure composability for all applications and to preserve predictability for the real-time ones. The proposed mechanisms are prototyped on a MPSoC in FPGA and experiments indicate that composability is achieved. Experiences in a Power-Efficient and High-Performance Computation Environment (Mario Vigliar, DPControl, Italy) Power constrained and "green" computing are often considered to be self-restricted to a low performance domain of applications by default. MPSoC architectures, richer and richer of complex peripherals and IPs, and smarter resource management policies are by the way lowering the energy demand of modern designs by acting on common cause of energy wastes and thermal implications. Nevertheless high density computing meshes offer to algorithms' designers new chances to reduce class of complexity of their target problems by adopting SIMD schemes. The lecture will focus on how to use best-in-class optimization techniques to face off hard numerical problems in an embedded device, with low power consumption. As use case the proceedings on DPC H.264 video compressor (based on non-trivial motion estimation) will be shown, w.r.t. to the constrained power model of the experience. As compendium some notes on realtime OS support (Linux in realtime) on ARM will be provided. A Fast Modeling and Simulation Framework for the Design of Power/Thermal Control Strategies in Industrial Embedded Platforms (Andrea Acquaviva, Politecnico di Torino, Italy) Next generation industrial embedded platforms require the development of complex power and thermal management solutions. Indeed, from one side an increasingly fine and intrusive thermal control is required because of temperature impact on circuit reliability. On the other side, thermal management policies must be as much as possible transparent from a performance and power viewpoint. To be effective, the implementation of these policies involves decisions that must be taken during various phases along the design process, to enable the development of architectural level countermeasures and the required hardware knobs, such as power modes, power supply regulation granularity and the number of on-chip temperature sensors. As a consequence, a framework allowing rapid power and thermal policy exploration during the design process is desirable. In presentation we propose a solution on this direction, by showing a fast modeling and simulation environment for the evaluation of power and temperature management policies. It exploits power information available at a post-synthesis phase of the design process. Power information is used to drive a thermal simulation engine capable of temperature feedback for the emulation of on-chip sensors. Design of Programmable Accelerators for Multicore SoCs (Geert Goossens, Target Compiler Technologies, Belgium) For new wireless standards like 3GPP-LTE, general-purpose processors are getting out of steam. Wisdom is that accelerators must be added in the form of hardwired datapaths, to deliver the required performance. However, a hardwired datapath stands for zero flexibility, reducing the capability of supporting evolutionary or multiple standards. In this lecture we discuss how C-programmable applicationspecific processors (ASIPs) can replace fixed-function accelerators without sacrificing performance (i.e. throughput, power and gate count). Multiple ASIPs can be combined in a heterogeneous multicore SoC. We review different approaches for ASIP design. We introduce IP Designer, a retargetable tool-suite for ASIP design developed by Target Compiler Technologies. We illustrate our performance claims with examples from the data-plane of wireless communication systems, such as channel encoding for WLAN and fast FFT calculation.

7 SPEAr: a Customizable MPSoC Solution for Muliprocessing Applications (Fabio Mario Scalise, STMicroelectronics, Italy) SPEAr is an MPSoC (Multi-Processors System-on-Chip) single-silicon solution that can be used to efficently implement various complex systems spanning from industrial to communication and consumer fields, where intensive computing abilities, flexible power consumption and agile reconfigurability are key success factors. SPEAr family of devices is based on dual ARM9 or Cortex A9 processors, a smart interconnect on-chip network and a comprehensive set of connectivity solutions (including GigaEthernet, USB and others) combined with other powerful blocks, like HD LCD controller, crypto accelerator and others more. On top of that, SPEAr devices comprise a very large part of generic logic, that can be customized according to customer's indications, to support either customer-developed IP blocks or additional customer-related blocks (e.g. a specific connectivity block) to fit into the end application requirements. The lecture will describe in details the technical features and architecture of the SPEAr platform, including the SW suite (Linux based). Information about the market relevance and key pros of SPEAr will be give as well. Power Reduction Techniques for Memory and I/O Subsystems in Application-Specific Processors (Jos Hulzink, Holst Centre / IMEC, The Netherlands) For many applications, especially in the area of sensor nodes and sensor networks, the computational power requirements in an ASIP are limited. Therefore, power in such system is usually not dominated by the processor, but by the memories, I/O subsystems, running clocks and with modern design technologies even leakage. In this lecture we present a variety of techniques used to reduce the power consumption of these components and the ASIP as a whole. The used technologies for this purpose include, but are not limited to, strict clock gating, clock speed reduction, on-chip clock generation, power gating, voltage reduction and memory retention modes. Apart from the hardware design aspects, also the impact on the applications will be discussed. AMM Technology for Early Performance Measurements on System Level (Tim Kogel, Synopsys and NXP-NL) In the early design phase of multi-processor embedded systems, most of the decisions taken are based on static performance analysis techniques, such as bandwidth calculations in spreadsheets. A limitation of static approaches is in the analysis of dynamic aspects, e.g. scheduling, arbitration and synchronization. The goal of the Application Modeling and Mapping (AMM) project is to complement existing static performance analysis techniques with dynamic performance analysis techniques based on simulations. The simulation models are based on virtual prototyping techniques supported by commercial ESL tooling from CoWare. The models focus on traffic generation and include control mechanisms to make dynamic aspects like mode switching and synchronization effects explicit. The (software) application models are separated from the hardware platform models, to ease exploration of use-cases. In this session the AMM technology will be explained. Lightweight but Powerfull QoS Solution for Embedded Systems (Andreas Foglar, LANTIQ, Germany) ARTEMIS Academy While ATM technology provided very sophisticated QoS methods Internet still offers best effort quality. A compromise is needed for low power embedded systems, on the one hand sufficiently elaborated to offer guaranteed QoS and bounded delay for real-time sensor applications, on the other hand simple enough to be implementable with limited resources. A lightweight solution has been elaborated, with 4 QoS classes differentiated by the delay, a parameter which is sensitive for the human being. Starting with queuing theory the solution is derived down to a practical solution, ready for implementation. It includes simple formulas for bandwidth control, link load and and end-to-end delay prediction The solution allows segregation of the network in two independent planes, best effort plane with connectionless net-neutrality as requested by public authorities as well as value-added services with guaranteed QoS.

8 System Level Modeling Environment for Small and Medium Enterprises (Sanna Määttä, Tampere University of Technology) Sysmodel Small and Medium Enterprises (SME) participating the SYSMODEL project work on different application areas or as subcontractors. Therefore, they have different targets, such as analog and digital hardware, software, and RFID technology. SMEs have no or very little experience on system level modelling tools, languages, methods, or design space exploration. Their methods are mainly ad hoc approaches that cannot completely handle the complexity and heterogeneity of today's embedded systems. The aim of the SYSMODEL project is to provide the SMEs with system level modelling tools for the design and implementation of time and power critical heterogeneous systems. Proper system level modelling tools may increase the SMEs' design productivity even by 30%. Within the SYSMODEL project, open source system and platform modelling frameworks will be created as well as point tools for design verification and design space exploration. First GENESYS Architectures Implemented in The INDEXYS Project An Overview on The Technical Project Contents and Status Quo (Andreas Eckel, TTTech Computertechnik AG) The objective of INDEXYS (INDustrial EXploitation of the genesys cross-domain architecture; funded by the Artemis Joint Undertaking) is to tangibly realize industrial implementations of cross-domain architectural concepts developed in GENESYS (GENeric Embedded SYStem Platform; funded by the seventh framework-programme of the European commission). INDEXYS aims at three domains: automotive, aerospace and railway and relates to ARTEMIS-JU Industrial Priority: Reference designs and architectures. The automotive, aerospace and railway industries require safety-critical systems. Consequently, INDEXYS targets dependability services at the architectural level by architectural service instantiations in order to guarantee reliable and timely system services despite accidental failure of system components. Core concepts behind these dependability services are the availability of a synchronized global time, message-based sub-system interaction across a state-message based interface (i.e., temporal firewall), error containment on node level and on network level by strict definition of error containment regions, as well as diversity concepts in order to increase robustness at an architectural level. The presentation will introduce recent developments of INDEXYS architectural style verifications which have been carried out in the three industrial domains (automotive, aerospace and railway). Those developments are examples to demonstrate the cross-domain applicability of the GENESYS architectural style and its related services. Developing Deterministic Networking Technology for Railway Applications Using TTEthernet Software- Based End Systems (Astrit Ademaj, TTTech Computertechnik AG) Safety-critical applications in the railway domain require deterministic communication networks where robustness and composability are key issues. TTEthernet is a deterministic, composable and scalable real-time communication network fully compatible with IEEE Standard Ethernet traffic sent over the same network does not affect determinism and synchronization of TTEthernet real-time traffic. TTEthernet takes advantage of design experiences with time-triggered systems in the transportation industry and provides a unique approach to real-time Ethernet networking. The software-based TTEthernet implementation uses COTS Ethernet controllers showcasing that TTEthernet can be implemented on any Ethernet compliant hardware, thus providing a cost-efficient and flexible technology implementation. Software based TTEthernet will be ported in the HW target used in the railway industry and it will be used for investigation of robustness services in the course of the INDEXUS project by using a railway application. Adoption of The GENESYS Architectural Style in The Railway Domain (Christoph Scherrer, Thales) In today s computer-based railway control one major challenge is to reconcile the inhomogeneous field of different systems into a composable and dependable platform. Such systems range from electronic interlocking systems, axle counters, signal controllers to automatic onboard train control systems, all equipped with different COTS based hardware components. These applications share similar requirements with respect to dependability and safety certification procedures, but depend on different assumptions concerning performance, operating environmental conditions and cost.given this background the challenges for future architectures for embedded systems in the railway domain are being discussed in the context of the GENESYS architecture.

9 FlexRay Multirouter (Eric Schmidt, TTTech Computertechnik AG) The introduction of the FlexRay communications system into today's cars has enabled new possibilities for integrating novel distributed functions requiring high communication bandwidth and fulfilling tight timing constraints. By loading a single channel FlexRay bus with multiple messages needed for a variety of functions, it now becomes obvious that enhancements of the FlexRay communications system are needed for future expansions without losing the compatibility with todays FlexRay standard 2.1A. The FlexRay Multirouter is designed to operate as a drop-in replacement for an active star device with a set of additional new features that significantly enhance the performance of the FlexRay communications system with respect to bandwith utilization and also fault containment. By intelligently subdividing the FlexRay cluster into synchronously operating sub-domains, additional bandwith can be utilized on the same physical layer. Safety-relevant messages can be protected from potential faults which would cause the according safety-relevant functions to fail. The FlexRay Multirouter operates as an intelligent cut-through (zero-dalay) switching device which allows both, selective and parallel routing on a slot-by-slot basis. This central device is configurable to the specific needs of the designed FlexRay network. The issue of configuration of the FlexRay Multirouter as such is a rather complex task that requires careful and suitable tooling and design support. Resulting from both, the FlexRay Multirouter device capabilities and the configuration tools, enhanced bandwith utilization and fault containment is supported, additionally fulfilling the goals of extensibility and flexibility thereby preserving the compatibility to the available COTS components of the FlexRay standard 2.1A. A Robust and Scalable CAN-based Communication Infrastructure based on the GENESYS Architecture (Roman Obermaisser, TU Vienna) Controller Area Network (CAN) provides an inexpensive and robust network technology in many application domains. However, the use of CAN is constrained by limitations with respect to fault isolation, bandwidth, wire length, namespaces and diagnosis. For example, a faulty CAN node can disrupt the communication abilities of all other nodes by continuously transmitting high-priority messages. Hence, a single CAN bus does not support the construction of embedded systems where the correct operation of the communication services is required to ensure safety. This presentation introduces a CAN-based communication infrastructure to overcome these limitations based on the embedded system architecture implemented within the INDEXYS (INDustrial EXploitation of the genesys cross-domain architecture)project. The CAN-based communication infrastructure supports rigid fault containment and tackles scalability by improving the use of the bandwidth, extending the possible overall wire length and supporting multiple namespaces. Semantics-Based Integration of Embedded Systems Models (A. Balogh, OptXware Ltd) Model-driven development has become an important trend in embedded systems design. Several standardized domain-specific languages have been defined in various application domains mainly covering the functional aspects of development. The ARTEMIS platform targets the interaction between these domains by defining a common architectural and modeling style (like GENESYS) for the systems. Although, GENESYS has been successful in the uniformization of system design approaches and styles, from the modeling point of view, it focused only on the syntactical specification of components and interfaces and did not define a common semantical base for modeling approaches. In INDEXYS we define an ontology-based modeling approach that is able to capture the semantical aspects of different modeling concepts, like non-functional properties, hardware component types, and so on. This will result in an ontology of these concepts that can be used as a common nomenclature and classification of terms and will support the semantics preserving transformation between different modeling languages and will help the integration of embedded system development tools with different metamodeling background into a coherent development tool-chain. Error-Propagation Analysis in Design Time V&V of Component Oriented Embedded Systems (Gy. Csertán, OptXware Ltd) In the field of embedded system design, modern development tool-chains are based on the model driven design (MDD) technology. The key problem to solve here is the seamless integration of different development tools around an efficient central model repository. This solution gives place for design time verification and validation in early phases of the design of safety critical systems and as such can help to significantly reduce design costs. Error-propagation analysis is combined into our customizable workbench in order to automatically discover the undesired combinations of faulty input and an erroneous internal component state, which may result in the delivery of incorrect computation results.

10 CESAR Approach for The Development of Safety Critical Embedded Systems (Quentin Bourrouilh, Ingrid Kundner, AVL List GmbH) CESAR The embedded safety-critical systems design and development industry is facing increasing complexity and variety of systems and devices, coupled with increasing regulatory constraints while costs, performances and time to market are constantly challenged. To take this challenge and find some answers the CESAR-Project was launched in "CESAR" stands for Cost-efficient methods and processes for safety relevant embedded systems and is the biggest ARTEMIS project of the 1st Call. CESAR will try to reduce the costs of the development of safety critical systems by bringing significant and conclusive innovations in the System Design Engineering disciplines. A combination of the improved Requirements Engineering discipline and the improved Component Based Development discipline is a precondition to satisfy the ambitious CESAR goals. Only an integration of these disciplines accompanied with an adequate tool support into a seamless tool chain (CESAR RTP) can unleash the full potential of the CESAR approach. The presentation starts with a quick overview of the CESAR-Project were the motivation, the objectives, the approach and structure will be illustrated. The focus of this speech is concentrated on the first intermediate management results which depict the way how we want to measure the success of the CESAR project. The evidence of the success is highly recommended by the independent reviewers as well as the JU ARTEMIS project officer. Improved Requirements Engineering for Safety Related Systems (Markus Oertel, OFFIS) CESAR The embedded safety-critical systems design and development industry is facing increasing complexity and variety of systems and devices, coupled with increasing regulatory constraints while costs, performances and time to market are constantly challenged. From a safety point of view requirements engineering in particular with respect to traceability issues and analysis techniques to deal with consistency, completeness as well as correctness is an important discipline. CESAR will provide a formalized multi criteria requirement specification language together with analysis methods, for example supporting validation of formal multi-criteria requirements w.r.t. consistency and completeness. The presentation will provide some intermediate results of the CESAR project dealing with this subject. A Multi-Views Approach for a Component-Based Architecture Design (Eric Armengaud, Virtual Vehicle) CESAR When developing a system, a set of skills are required (mechanics, electronics, hydraulics, safety,...) and a set of criteria (safety, cost, performance,...) has to be met in order to fulfil the system requirements. So, in this talk the different facets of the system design to handle will be described as different system views that must be consistent. The foundations for ensuring these views consistency will be discussed. In addition to this viewpoint concept, the component based modelling approach chosen for the CESAR project will be presented. A specific focus will be made on the contract and connectors techniques that allow reusability and cost reduction. The CESAR Reference Technology Platform (Tom Ritter, Fraunhofer FOKUS) CESAR Developing embedded safety-critical systems is a challenging mission. Usually, there are multiple tasks to accomplish comprising activities like requirements management, system architecture design, component design, coding, simulation and tests. Each of these activities is complex in its own and is often supported by highly specialized software tools. But using these specialized tools together in a coordinated way within a development team, which is probably spread across multiple locations, is far from being simple. There is a lack of interoperability among these tools and only some vendor-specific solutions and point-to-point integrations can be used. In particular it is difficult to share data between these tools and to establish an end-to-end traceability from requirements to test results without substantial manual work. The CESAR project addresses these issues and creates the CESAR Reference Technology Platform - RTP. The CESAR RTP is a means helping to establish seamlessly integrated tool chains. This talk presents the general concepts of the CESAR RTP including the RTP ModelBus and exemplary scenarios based on the first results of the CESAR project.

11 About the Projects The goal of is to enable an industrially sustainable path for the evolution of low power multi-core computing platforms for application domains with strategic value for European competitiveness. The technical innovations are driven by and proven for 4 different application domains: /communication infrastructure, surveillance systems, smart mobile// terminals and stationary video systems/. More information about can be found at: INDEXYS The objective of INDEXYS (INDustrial EXploitation of the genesys cross-domain architecture) is to tangibly realize industrial implementations of cross-domain architectural concepts developed in the GENESYS project in three domains: automotive, aerospace and railway, thereby relating to ARTEMIS-JU Industrial Priority: Reference designs and architectures. More information about INDEXYS can be found at: CESAR CESAR intends to reduce the costs for the development of embedded systems while ensuring the quality and safety properties. To achieve this goal, CESAR will bring significant innovations in the two most improvable systems engineering disciplines: Requirements engineering in particular through formalization of multi viewpoint, multi criteria and multi level requirements Component based engineering applied to design space exploration comprising multi-view, multi-criteria and multi level architecture trade-offs Only an integration of these disciplines accompanied with an adequate tool support into a seamless tool chain can unleash the full potential of the CESAR approach. Therefore, CESAR is developing the RTP Reference Technology Platform. More information about CESAR can be found at: SYSMODEL The SYSMODEL project aims at providing SMEs with system level modelling tools for the design and implementation of time and power critical, heterogeneous systems. The vision is to allow SMEs to build cost-efficient ambient intelligence systems with optimal performance, high confidence, reduced time to market and faster deployment. The focus is on the development of modelling concepts, methods and tools that master system s complexity by allowing cost-efficient mapping of applications and product variants onto an embedded platform; while respecting constraints in terms of resources (time, energy, memory, etc.), safety, security and quality of service. More information about SYSMODEL can be found at:

Semantics-Based Integration of Embedded Systems Models

Semantics-Based Integration of Embedded Systems Models Semantics-Based Integration of Embedded Systems Models Project András Balogh, OptixWare Research & Development Ltd. n 100021 Outline Embedded systems overview Overview of the GENESYS-INDEXYS approach Current

More information

First GENESYS Architectures Implemented in the INDEXYS Project

First GENESYS Architectures Implemented in the INDEXYS Project Project n 100021 First GENESYS Architectures Implemented in the INDEXYS Project An Overview on the Technical Project Contents and Status Quo Andreas Eckel, TTTech Computertechnik AG CROSS FUNDING-PROVIDER

More information

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Project n 100021 Astrit Ademaj, TTTech Computertechnik AG Outline GENESYS requirements

More information

Deterministic Ethernet & Unified Networking

Deterministic Ethernet & Unified Networking Deterministic Ethernet & Unified Networking Never bet against Ethernet Mirko Jakovljevic mirko.jakovljevic@tttech.com www.tttech.com Copyright TTTech Computertechnik AG. All rights reserved. About TTTech

More information

Designing a System Engineering Environment in a structured way

Designing a System Engineering Environment in a structured way Designing a System Engineering Environment in a structured way Anna Todino Ivo Viglietti Bruno Tranchero Leonardo-Finmeccanica Aircraft Division Torino, Italy Copyright held by the authors. Rubén de Juan

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

An Encapsulated Communication System for Integrated Architectures

An Encapsulated Communication System for Integrated Architectures An Encapsulated Communication System for Integrated Architectures Architectural Support for Temporal Composability Roman Obermaisser Overview Introduction Federated and Integrated Architectures DECOS Architecture

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

A unified multicore programming model

A unified multicore programming model A unified multicore programming model Simplifying multicore migration By Sven Brehmer Abstract There are a number of different multicore architectures and programming models available, making it challenging

More information

A Seamless Tool Access Architecture from ESL to End Product

A Seamless Tool Access Architecture from ESL to End Product A Seamless Access Architecture from ESL to End Product Albrecht Mayer Infineon Technologies AG, 81726 Munich, Germany albrecht.mayer@infineon.com Abstract access to processor cores is needed from the first

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

IOT FLAGSHIP PROJECT. Dr. Mario Drobics, AIT

IOT FLAGSHIP PROJECT. Dr. Mario Drobics, AIT IOT FLAGSHIP PROJECT Dr. Mario Drobics, AIT Challenge Digitalization over the entire product lifecycle accelerates the development, validation, instrumentation and deployment of complex industrial products

More information

END-TO-END RECONFIGURABILITY II: TOWARDS SEAMLESS EXPERIENCE

END-TO-END RECONFIGURABILITY II: TOWARDS SEAMLESS EXPERIENCE END-TO-END RECONFIGURABILITY II: TOWARDS SEAMLESS EXPERIENCE Didier Bourse, Karim El-Khazen, David Bateman (Motorola Labs, France) Marylin Arndt (France Telecom, France) Nancy Alonistioti (University of

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput!

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput! Virtual Hardware ECU How to Significantly Increase Your Testing Throughput! Elektrobit Tech Day Jason Niatas Synopsys Inc. July 27, 2017 2017 Synopsys, Inc. 1 Agenda Automotive electronic evolution and

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - EuNetAir COST Action TD1105

European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - EuNetAir COST Action TD1105 European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - EuNetAir COST Action TD1105 A Holistic Approach in the Development and Deployment of WSN-based

More information

Reaching for the sky with certified and safe solutions for the aerospace market

Reaching for the sky with certified and safe solutions for the aerospace market www.tttech.com/aerospace Reaching for the sky with certified and safe solutions for the aerospace market More about our certified and safe products inside Advancing safe technologies, improving human lives

More information

A Data-Centric Approach for Modular Assurance Abstract. Keywords: 1 Introduction

A Data-Centric Approach for Modular Assurance Abstract. Keywords: 1 Introduction A Data-Centric Approach for Modular Assurance Gabriela F. Ciocarlie, Heidi Schubert and Rose Wahlin Real-Time Innovations, Inc. {gabriela, heidi, rose}@rti.com Abstract. A mixed-criticality system is one

More information

Importance of Interoperability in High Speed Seamless Redundancy (HSR) Communication Networks

Importance of Interoperability in High Speed Seamless Redundancy (HSR) Communication Networks Importance of Interoperability in High Speed Seamless Redundancy (HSR) Communication Networks Richard Harada Product Manager RuggedCom Inc. Introduction Reliable and fault tolerant high speed communication

More information

YOUR PARTNER IN INNOVATION

YOUR PARTNER IN INNOVATION YOUR PARTNER IN INNOVATION 1 What we stand for. TES is a leading electronics design services company and technology / IP provider. With specialized design centers located in Germany, UK, India and Serbia,

More information

Emerging Requirements for Next Generation Systems on Module (SOMs) and Single-Board Computers (SBCs)

Emerging Requirements for Next Generation Systems on Module (SOMs) and Single-Board Computers (SBCs) Emerging Requirements for Next Generation Systems on Module (SOMs) and Single-Board Computers (SBCs) Smart, connected products drive significant benefits across almost every application. In industries

More information

SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION

SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION http://www.tutorialspoint.com/software_architecture_design/introduction.htm Copyright tutorialspoint.com The architecture of a system describes its major components,

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

UNCLASSIFIED. R-1 Program Element (Number/Name) PE D8Z / Software Engineering Institute (SEI) Applied Research. Prior Years FY 2013 FY 2014

UNCLASSIFIED. R-1 Program Element (Number/Name) PE D8Z / Software Engineering Institute (SEI) Applied Research. Prior Years FY 2013 FY 2014 Exhibit R-2, RDT&E Budget Item Justification: PB 2015 Office of Secretary Of Defense Date: March 2014 0400: Research, Development, Test & Evaluation, Defense-Wide / BA 2: COST ($ in Millions) Prior Years

More information

ISO compliant verification of functional requirements in the model-based software development process

ISO compliant verification of functional requirements in the model-based software development process requirements in the model-based software development process Hans J. Holberg SVP Marketing & Sales, BTC Embedded Systems AG An der Schmiede 4, 26135 Oldenburg, Germany hans.j.holberg@btc-es.de Dr. Udo

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

EC EMBEDDED AND REAL TIME SYSTEMS

EC EMBEDDED AND REAL TIME SYSTEMS EC6703 - EMBEDDED AND REAL TIME SYSTEMS Unit I -I INTRODUCTION TO EMBEDDED COMPUTING Part-A (2 Marks) 1. What is an embedded system? An embedded system employs a combination of hardware & software (a computational

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Chapter 2. Literature Survey. 2.1 Remote access technologies

Chapter 2. Literature Survey. 2.1 Remote access technologies Chapter 2 Literature Survey This chapter presents a brief report on literature reviewed in context to present work with an aim to identify current state of research in the domain. Literature review is

More information

Transforming Utility Grid Operations with the Internet of Things

Transforming Utility Grid Operations with the Internet of Things Solution Brief Internet of Things Energy Industry Transforming Utility Grid Operations with the Internet of Things Access key process data in real time to increase situational awareness of grid operations.

More information

Drive-by-Data & Integrated Modular Platform

Drive-by-Data & Integrated Modular Platform Drive-by-Data & Integrated Modular Platform Gernot Hans, Bombardier Transportation Mirko Jakovljevic, TTTech Computertechnik AG CONNECTA has received funding from the European Union s Horizon 2020 research

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications

LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications Publish Date: Dec 29, 2008 38 Ratings 4.16 out of 5 Overview Hardware-in-the-loop (HIL) simulation is achieving a highly realistic simulation

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

REALISATION OF AN INTELLIGENT AND CONTINUOUS PROCESS CONNECTION IN SUBSTATIONS

REALISATION OF AN INTELLIGENT AND CONTINUOUS PROCESS CONNECTION IN SUBSTATIONS REALISATION OF AN INTELLIGENT AND CONTINUOUS PROCESS CONNECTION IN SUBSTATIONS Christina SÜFKE Carsten HAVERKAMP Christian WEHLING Westnetz GmbH - Germany Westnetz GmbH - Germany Westnetz GmbH - Germany

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

Embedded Software: Its Growing Influence on the Hardware world

Embedded Software: Its Growing Influence on the Hardware world Embedded Software: Its Growing Influence on the Hardware world ISA Vision Summit 2009, Bangalore 16 th FEB 09 V. R. Venkatesh Head, Product Engineering Services, Wipro Technologies. Wipro in Product Engineering

More information

What are Embedded Systems? Lecture 1 Introduction to Embedded Systems & Software

What are Embedded Systems? Lecture 1 Introduction to Embedded Systems & Software What are Embedded Systems? 1 Lecture 1 Introduction to Embedded Systems & Software Roopa Rangaswami October 9, 2002 Embedded systems are computer systems that monitor, respond to, or control an external

More information

Design of embedded mixed-criticality CONTRol systems under consideration of EXtra-functional properties

Design of embedded mixed-criticality CONTRol systems under consideration of EXtra-functional properties EMC2 Project Conference Paris, France Design of embedded mixed-criticality CONTRol systems under consideration of EXtra-functional properties Funded by the EC under Grant Agreement 611146 Kim Grüttner

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

CAN on Integration Technologies

CAN on Integration Technologies CAN on Integration Technologies CAN technology has reached the mature state where the powerful network technology is well covered by standard parts; mainly processors with integrated CAN periphery. Nevertheless

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

2 The BEinGRID Project

2 The BEinGRID Project 2 The BEinGRID Project Theo Dimitrakos 2.1 Introduction Most of the results presented in this book were created within the BEinGRID project. BEinGRID, Business Experiments in GRID, is the European Commission

More information

PROJECT FINAL REPORT

PROJECT FINAL REPORT PROJECT FINAL REPORT Grant Agreement number: INFSO-ICT-224350 Project acronym: Project title: Funding Scheme: flexware Flexible Wireless Automation in Real-Time Environments STREP Period covered: from

More information

Accelerate Your Enterprise Private Cloud Initiative

Accelerate Your Enterprise Private Cloud Initiative Cisco Cloud Comprehensive, enterprise cloud enablement services help you realize a secure, agile, and highly automated infrastructure-as-a-service (IaaS) environment for cost-effective, rapid IT service

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

Five Ways to Build Flexibility into Industrial Applications with FPGAs

Five Ways to Build Flexibility into Industrial Applications with FPGAs GM/M/A\ANNETTE\2015\06\wp-01154- flexible-industrial.docx Five Ways to Build Flexibility into Industrial Applications with FPGAs by Jason Chiang and Stefano Zammattio, Altera Corporation WP-01154-2.0 White

More information

1588v2 Performance Validation for Mobile Backhaul May Executive Summary. Case Study

1588v2 Performance Validation for Mobile Backhaul May Executive Summary. Case Study Case Study 1588v2 Performance Validation for Mobile Backhaul May 2011 Executive Summary Many mobile operators are actively transforming their backhaul networks to a cost-effective IP-over- Ethernet paradigm.

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS By Bill Graham and Michael Weinstein WHEN IT MATTERS, IT RUNS ON WIND RIVER EXECUTIVE SUMMARY Driven by the convergence of cloud technology, rapidly

More information

Netronome NFP: Theory of Operation

Netronome NFP: Theory of Operation WHITE PAPER Netronome NFP: Theory of Operation TO ACHIEVE PERFORMANCE GOALS, A MULTI-CORE PROCESSOR NEEDS AN EFFICIENT DATA MOVEMENT ARCHITECTURE. CONTENTS 1. INTRODUCTION...1 2. ARCHITECTURE OVERVIEW...2

More information

Version 11

Version 11 The Big Challenges Networked and Electronic Media European Technology Platform The birth of a new sector www.nem-initiative.org Version 11 1. NEM IN THE WORLD The main objective of the Networked and Electronic

More information

webmethods EntireX for ESB: Leveraging Platform and Application Flexibility While Optimizing Service Reuse

webmethods EntireX for ESB: Leveraging Platform and Application Flexibility While Optimizing Service Reuse December 2008 webmethods EntireX for ESB: Leveraging Platform and Application Flexibility While Optimizing Service Reuse By Chris Pottinger, Sr. Manager Product Development, and Juergen Lind, Sr. Product

More information

Automotive Networks Are New Busses and Gateways the Answer or Just Another Challenge? ESWEEK Panel Oct. 3, 2007

Automotive Networks Are New Busses and Gateways the Answer or Just Another Challenge? ESWEEK Panel Oct. 3, 2007 Automotive Networks Are New Busses and Gateways the Answer or Just Another Challenge? ESWEEK Panel Oct. 3, 2007 Automotive Networks complex networks hundreds of functions 50+ ECUs (Electronic Control Unit)

More information

WWW. FUSIONIO. COM. Fusion-io s Solid State Storage A New Standard for Enterprise-Class Reliability Fusion-io, All Rights Reserved.

WWW. FUSIONIO. COM. Fusion-io s Solid State Storage A New Standard for Enterprise-Class Reliability Fusion-io, All Rights Reserved. Fusion-io s Solid State Storage A New Standard for Enterprise-Class Reliability iodrive Fusion-io s Solid State Storage A New Standard for Enterprise-Class Reliability Fusion-io offers solid state storage

More information

Software Verification for Low Power, Safety Critical Systems

Software Verification for Low Power, Safety Critical Systems Software Verification for Low Power, Safety Critical Systems 29 Nov 2016, Simon Davidmann info@imperas.com, Imperas Software Ltd. Page 1 Software Verification for Low Power, Safety Critical Systems Page

More information

Design guidelines for embedded real time face detection application

Design guidelines for embedded real time face detection application Design guidelines for embedded real time face detection application White paper for Embedded Vision Alliance By Eldad Melamed Much like the human visual system, embedded computer vision systems perform

More information

Secure information exchange

Secure information exchange www.thales.no Secure information exchange 2 together. Safer. everywhere. Whenever critical decisions need to be made, Thales has a role to play. In all its markets aerospace, space, ground transportation,

More information

Workshop on the IPv6 development in Saudi Arabia 8 February 2009; Riyadh - KSA

Workshop on the IPv6 development in Saudi Arabia 8 February 2009; Riyadh - KSA Transition to IPv6 Drivers and Challenges Dr. Abdelfattah ABUQAYYAS ICT Consultant CITC- KSA 1 MAIN POINTS The ICT sector is developing rapidly - new services, and new modes of service delivery. The Internet

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Part I: Future Internet Foundations: Architectural Issues

Part I: Future Internet Foundations: Architectural Issues Part I: Future Internet Foundations: Architectural Issues Part I: Future Internet Foundations: Architectural Issues 3 Introduction The Internet has evolved from a slow, person-to-machine, communication

More information

Contents. Enterprise Solution 04. Why Samsung? 05 WLAN 06. Voice Solution 08. Mobile Unified Communications 09. Enterprise Security 10

Contents. Enterprise Solution 04. Why Samsung? 05 WLAN 06. Voice Solution 08. Mobile Unified Communications 09. Enterprise Security 10 Contents Enterprise Solution 04 Why Samsung? 05 WLAN 06 Voice Solution 08 Mobile Unified Communications 09 Enterprise Security 10 3 Enterprise Solution Why Samsung? Mobile devices are increasingly at the

More information

Networking with CAN FD have you also thought about testing?

Networking with CAN FD have you also thought about testing? Networking with CAN FD have you also thought about testing? Test environment for manufacturer-independent control unit tests Introduction The introduction of new technologies in the automotive industry

More information

CHAPTER 2 WIRELESS SENSOR NETWORKS AND NEED OF TOPOLOGY CONTROL

CHAPTER 2 WIRELESS SENSOR NETWORKS AND NEED OF TOPOLOGY CONTROL WIRELESS SENSOR NETWORKS AND NEED OF TOPOLOGY CONTROL 2.1 Topology Control in Wireless Sensor Networks Network topology control is about management of network topology to support network-wide requirement.

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Software Verification and Validation (VIMMD052) Introduction. Istvan Majzik Budapest University of Technology and Economics

Software Verification and Validation (VIMMD052) Introduction. Istvan Majzik Budapest University of Technology and Economics Software Verification and Validation (VIMMD052) Introduction Istvan Majzik majzik@mit.bme.hu Budapest University of Technology and Economics Dept. of Measurement and Information s Budapest University of

More information

Incentives for IoT Security. White Paper. May Author: Dr. Cédric LEVY-BENCHETON, CEO

Incentives for IoT Security. White Paper. May Author: Dr. Cédric LEVY-BENCHETON, CEO White Paper Incentives for IoT Security May 2018 Author: Dr. Cédric LEVY-BENCHETON, CEO Table of Content Defining the IoT 5 Insecurity by design... 5 But why are IoT systems so vulnerable?... 5 Integrating

More information

Computer Architecture

Computer Architecture Computer Architecture Slide Sets WS 2013/2014 Prof. Dr. Uwe Brinkschulte M.Sc. Benjamin Betting Part 10 Thread and Task Level Parallelism Computer Architecture Part 10 page 1 of 36 Prof. Dr. Uwe Brinkschulte,

More information

eclipse packet node aviat networks transforming networks to all-ip

eclipse packet node aviat networks transforming networks to all-ip eclipse packet node aviat networks ANSI transforming networks to all-ip 4G Wireless Backhaul for 4G/LTE Networks 2 AVIAT NETWORKS 4G/LTE Ready Wireless Backhaul Enabling the mobile broadband future The

More information

IO virtualization. Michael Kagan Mellanox Technologies

IO virtualization. Michael Kagan Mellanox Technologies IO virtualization Michael Kagan Mellanox Technologies IO Virtualization Mission non-stop s to consumers Flexibility assign IO resources to consumer as needed Agility assignment of IO resources to consumer

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Time-Triggered Ethernet

Time-Triggered Ethernet Time-Triggered Ethernet Chapters 42 in the Textbook Professor: HONGWEI ZHANG CSC8260 Winter 2016 Presented By: Priyank Baxi (fr0630) fr0630@wayne.edu Outline History Overview TTEthernet Traffic Classes

More information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications September 2013 Navigating between ever-higher performance targets and strict limits

More information

Data Model Considerations for Radar Systems

Data Model Considerations for Radar Systems WHITEPAPER Data Model Considerations for Radar Systems Executive Summary The market demands that today s radar systems be designed to keep up with a rapidly changing threat environment, adapt to new technologies,

More information

Enabling Efficient and Scalable Zero-Trust Security

Enabling Efficient and Scalable Zero-Trust Security WHITE PAPER Enabling Efficient and Scalable Zero-Trust Security FOR CLOUD DATA CENTERS WITH AGILIO SMARTNICS THE NEED FOR ZERO-TRUST SECURITY The rapid evolution of cloud-based data centers to support

More information

Huawei Railway Communication Service Solution Guide

Huawei Railway Communication Service Solution Guide Huawei Railway Communication Service Solution Guide Huawei Technologies Co., Ltd. Keywords Railway transport, service solution, subsystem, design,, solution implementation Abstract Huawei Railway Communication

More information

Designing and debugging real-time distributed systems

Designing and debugging real-time distributed systems Designing and debugging real-time distributed systems By Geoff Revill, RTI This article identifies the issues of real-time distributed system development and discusses how development platforms and tools

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Functional verification on PIL mode with IAR Embedded Workbench

Functional verification on PIL mode with IAR Embedded Workbench by Cristina Marconcini, STM CASE s.r.l. Functional verification on PIL mode with IAR Embedded Workbench The increase of complexity of embedded system components combined with time-to-market constraints

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Vortex Whitepaper. Intelligent Data Sharing for the Business-Critical Internet of Things. Version 1.1 June 2014 Angelo Corsaro Ph.D.

Vortex Whitepaper. Intelligent Data Sharing for the Business-Critical Internet of Things. Version 1.1 June 2014 Angelo Corsaro Ph.D. Vortex Whitepaper Intelligent Data Sharing for the Business-Critical Internet of Things Version 1.1 June 2014 Angelo Corsaro Ph.D., CTO, PrismTech Vortex Whitepaper Version 1.1 June 2014 Table of Contents

More information

Distributed IMA with TTEthernet

Distributed IMA with TTEthernet Distributed IMA with thernet ARINC 653 Integration of thernet Georg Gaderer, Product Manager Georg.Gaderer@tttech.com October 30, 2012 Copyright TTTech Computertechnik AG. All rights reserved. Introduction

More information

FET Proactive initiative: Advanced Computing Architectures

FET Proactive initiative: Advanced Computing Architectures FET Proactive initiative: Advanced Computing Architectures Terms of Reference 1 INTRODUCTION Fuelled by Moore's law, progress in electronics continues at an unabated pace permitting the design of devices

More information

Design For High Performance Flexray Protocol For Fpga Based System

Design For High Performance Flexray Protocol For Fpga Based System IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 PP 83-88 www.iosrjournals.org Design For High Performance Flexray Protocol For Fpga Based System E. Singaravelan

More information

JUST WHAT THE DOCTOR ORDERED: A SOLUTION FOR SMARTER THERAPEUTIC DEVICES PLACEHOLDER IMAGE INNOVATORS START HERE.

JUST WHAT THE DOCTOR ORDERED: A SOLUTION FOR SMARTER THERAPEUTIC DEVICES PLACEHOLDER IMAGE INNOVATORS START HERE. JUST WHAT THE DOCTOR ORDERED: A SOLUTION FOR SMARTER THERAPEUTIC DEVICES PLACEHOLDER IMAGE INNOVATORS START HERE. EXECUTIVE SUMMARY There s little question that advances in therapeutic technologies have

More information

RazorMotion - The next level of development and evaluation is here. Highly automated driving platform for development and evaluation

RazorMotion - The next level of development and evaluation is here. Highly automated driving platform for development and evaluation RazorMotion - The next level of development and evaluation is here Highly automated driving platform for development and evaluation RazorMotion Highly automated driving platform for development and evaluation

More information

How the WAN is Driving Digital Transformation. An IDC InfoBrief, Sponsored by

How the WAN is Driving Digital Transformation. An IDC InfoBrief, Sponsored by How the WAN is Driving Digital Transformation Executive Summary Digital transformation needs to go hand in hand with an evolution of the wide area network (WAN). IDC has built a comparison framework to

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Hardware Software Codesign of Embedded System

Hardware Software Codesign of Embedded System Hardware Software Codesign of Embedded System CPSC489-501 Rabi Mahapatra Mahapatra - Texas A&M - Fall 00 1 Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on

More information

Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases. Steve Steele, ARM

Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases. Steve Steele, ARM Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases Steve Steele, ARM 1 Today s Computational Challenges Trends Growing display sizes and resolutions, richer

More information